Intel 22.4 Quartus Prime Pro Edition hugbúnaður

Upplýsingar um vöru
Textaútdrátturinn er úr notendahandbók Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4. Hugbúnaðarútgáfurnar veita upplýsingar um nýja eiginleika, villuleiðréttingar, breytingar á hegðun hugbúnaðar og úrelta eiginleika og aðgerðir. Hugbúnaðarútgáfan inniheldur virkni- og öryggisuppfærslur og mælt er með því að hafa hugbúnaðinn uppfærðan til að bæta öryggi uppsetningar.
Notkunarleiðbeiningar fyrir vöru
Til að setja upp og nota Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4 skaltu fylgja þessum skrefum:
- Sjá Intel Quartus Prime Pro Edition README file á eftirfarandi stað: /quartus/readme.txt fyrir frekari upplýsingar um hugbúnaðarútgáfuna.
- Vísa til eftirfarandi web síðu fyrir upplýsingar um stýrikerfisstuðning: Intel FPGA stýrikerfisstuðningur.
- Sækja og setja upp hugbúnaðinn.
- Til view sjálfgefnar úthlutunarstillingar fyrir nýjustu útgáfuna af Intel Quartus Prime hugbúnaðinum, sjá Intel Quartus Prime sjálfgefnar stillingar File (.qdf), staðsett á /quartus/bin/assignment_defaults.qdf.
- Ef þú hefur beiðnir um þjónustu við viðskiptavini, t.dview hugbúnaðarvandamálin leyst á blaðsíðu 17 og hugbúnaðarplástra sem eru innifalin í þessari útgáfu á síðu 18 til að sjá hvort þessi útgáfa inniheldur lagfæringar á eða leysir á annan hátt einhverjar af beiðnum þínum.
- Ef þú ert með OpenCL FPGA hönnun, flyttu þá til SYCL* með því að endurviewing Flytja OpenCL FPGA hönnun í SYCL* handbók sem sýnir mikilvægan mun á OpenCL og SYCL fyrir FPGA og veitir skref til að flytja OpenCL hönnunina þína. Intel oneAPI Base Toolkit er valkostur við hætt Intel FPGA SDK fyrir OpenCL hugbúnaðarvöru.
Intel® Quartus® Prime Pro Edition Útgáfa 22.4 Hugbúnaðar- og tækjastuðningur
- Þetta skjal veitir nýjar upplýsingar um Intel® Quartus® Prime Pro Edition útgáfu 22.4.
- Frekari upplýsingar um þessa hugbúnaðarútgáfu er að finna í Intel Quartus Prime Pro Edition README file á eftirfarandi stað: /quartus/readme.txt
- Fyrir upplýsingar um stýrikerfisstuðning, vísa til eftirfarandi web síða: Stuðningur við Intel FPGA stýrikerfi.
Tengdar upplýsingar
- Intel Quartus Prime Standard Edition Hugbúnaður og stuðningur við útgáfuskýringar
- Intel Quartus Prime Pro Edition hönnunarhugbúnaður fyrir Linux
- Intel Quartus Prime Pro Edition hönnunarhugbúnaður fyrir Windows
- Intel FPGA hugbúnaðaruppsetning og leyfisveiting
Nýir eiginleikar og endurbætur
Intel Quartus Prime Pro Edition hugbúnaðarútgáfa 22.4 inniheldur virkni- og öryggisuppfærslur. Haltu hugbúnaðinum þínum uppfærðum og fylgdu tæknilegum ráðleggingum sem hjálpa til við að bæta öryggi Intel Quartus Prime uppsetningar þinnar.
Intel Quartus Prime Pro Edition hugbúnaðarútgáfa 22.4 inniheldur eftirfarandi nýja eiginleika og endurbætur:
- Bætt við stuðningi við ný Intel Agilex™ tæki. Nánari upplýsingar er að finna í Breytingar á stuðningi tækja á síðu 12.
- Bætt við nýrri hönnun tdampLe uppgötvunareiginleikinn sem veitir einn aðgangsstað inn í FPGA hönnun tdamples innan Intel Quartus Prime og Platform Designer. Hönnunin fyrrvamplesin koma frá ýmsum aðilum án nettengingar og á netinu, þar á meðal tdamples sem er hluti af Intel Quartus Prime Pro Edition uppsetningunni þinni og tdamplesar fáanlegar í FPGA Design Store.
- Bætt við nýjum stjórnavitundareiginleika í Platform Designer sem gerir kleift að búa til hraðari hönnun þegar miða á Intel og þriðja aðila FPGA borð. Stjórnavitundareiginleikinn veitir forstilltar IP- og borðstillingar til að hjálpa til við að koma hönnun þinni fljótt af stað.
Intel Corporation. Allur réttur áskilinn. Intel, Intel lógóið og önnur Intel merki eru vörumerki Intel Corporation eða dótturfélaga þess. Intel ábyrgist frammistöðu FPGA- og hálfleiðaravara sinna samkvæmt gildandi forskriftum í samræmi við staðlaða ábyrgð Intel, en áskilur sér rétt til að gera breytingar á hvaða vörum og þjónustu sem er hvenær sem er án fyrirvara. Intel tekur enga ábyrgð eða skaðabótaábyrgð sem stafar af notkun eða notkun á neinum upplýsingum, vöru eða þjónustu sem lýst er hér nema sérstaklega hafi verið samið skriflega af Intel. Viðskiptavinum Intel er bent á að fá nýjustu útgáfuna af tækjaforskriftum áður en þeir treysta á birtar upplýsingar og áður en pantað er fyrir vörur eða þjónustu.
*Önnur nöfn og vörumerki geta verið eign annarra.
- Bætti RTL Analyzer sem hér segir:
- Bætt við síunarvalkostum svo þú getir síað netlistann þinn og view aðeins tilgreind rökfræðileg leið.
- Bætt við valkosti fyrir útvíkkun tenginga svo þú getir stækkað valinn pinna og afhjúpað næsta tengda hnút.
- Bætt við möguleika til að vista og endurheimta síðasta view þegar þú endurræsir RTL Analyzer. Ef þú breytir RTL og endursamsetningu verkefnisins ógildir það vistaða view.
- Bætti Power and Thermal Calculator (PTC) sem hér segir:
- Bætti við beta stuðningi fyrir IP Wizard til að hjálpa til við að fylla PTC með tilföngum sem IP notar. Þessi hjálp kemur í stað I/O-IP síðu PTC.
- Bætti við stuðningi við að flytja inn hönnunarstigveldi frá Quartus Power Analyzer.
- Aukinn Nios V stuðningur sem hér segir:
- Uppfært Ashling* RiscFree* IDE fyrir Intel FPGA í 2022 Q4 útgáfu.
- Endurbætt Nios V fyrrvamphönnunarflæði með því að flytja tdample hönnun til Intel FPGA Design Store.
- Virkaði Zephyr RTOS fyrir Nios V/m.
- Fyrir F-flísar-undirstaða IP, bætti við hermunarstuðningi fyrir Aldec* Active-HDL* og Aldec Riviera-PRO* hermiverkfæri.
- Aukið GUI kerfistölvu með getu til að sérsníða skipulag vinnusvæðisins og vista sérstillingarnar þínar.
- Bætt við ritstjóra fyrir flísarúthlutun fyrir notendaskilgreinda Dynamic Reconfiguration (DR) hópforskrift fyrir F-flísahönnun.
Villuleiðréttingar
Intel Quartus Prime Pro Edition hugbúnaðarútgáfa 22.4 inniheldur einnig villuleiðréttingar. Afturview Hugbúnaðarvandamál leyst á blaðsíðu 17 og hugbúnaðarplástrar sem eru innifalin í þessari útgáfu á síðu 18 til að sjá hvort þessi útgáfa inniheldur lagfæringar fyrir eða leysi á annan hátt einhverjar af þjónustubeiðnum þínum.
Breytingar á hegðun hugbúnaðar
Þessi hluti skráir tilvik þar sem hegðun og sjálfgefna stillingum Intel Quartus Prime Pro Edition hugbúnaðarins hefur verið breytt frá fyrri útgáfum af Intel Quartus Prime Pro Edition hugbúnaðinum.
Intel Quartus Prime Pro Edition hefur eftirfarandi breytingar:
- Uppfærði tímatökulíkanið fyrir suma Intel Agilex F-Series með -4F hraðaeinkunn.
Uppfærða tímatökulíkanið leiðréttir fyrir misræmi sem uppgötvast þegar þessi tæki keyra við 0°C.
Eftirfarandi Intel Agilex F-Series tæki verða fyrir áhrifum af þessu uppfærða tímatökulíkani:- AGFA014R24, AGFA019R25, AGFA022R25, AGFA023R25, AGFA027R25
- AGFB014R24, AFGB019R25, AGFB022R25, AGFB023R25, AGFB027R25
- AGFC019R25, AGFC023R25
- AGFD019R25, AGFD023R25
Nánari upplýsingar um þessa tímatökugerð uppfærslu er að finna í „Hvers vegna eru virknibilanir þegar Intel Agilex F-Series -4F tæki eru notuð í Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.3 og eldri?“ í Intel FPGA Knowledge Base.
Sjá Intel Quartus Prime sjálfgefnar stillingar File (.qdf), /quartus/bin/assignment_defaults.qdf, fyrir lista yfir allar sjálfgefnar úthlutunarstillingar fyrir nýjustu útgáfuna af Intel Quartus Prime hugbúnaðinum.
Úreltir eiginleikar og aðgerðir
Aðgerðirnar og eiginleikarnir sem taldir eru upp í þessum hluta hafa verið úreltir en ekki fjarlægðir úr Intel Quartus Prime Pro Edition útgáfu 22.4 eða eldri. Flyttu tólin þín og ferla til að nota endurnýjun eða aðra eiginleika og aðgerðir áður en úreltir eiginleikar og aðgerðir eru fjarlægðar. Eiginleikar og aðgerðir úreltar frá og með Intel Quartus Prime Pro Edition útgáfu 22.4 Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Pro Edition útgáfu 22.4. Eiginleikar og aðgerðir úrelt frá og með Intel Quartus Prime Pro Edition útgáfu 22.3. Intel FPGA SDK fyrir OpenCL™ hugbúnaðarvara er úrelt. Intel hættir að framleiða Intel FPGA SDK fyrir OpenCL hugbúnaðarvöru. Sjá tilkynningu um stöðvun vöru PDN2219.
Sem valkostur skaltu nota Intel oneAPI Base Toolkit, sem veitir kjarnaverkfæri og bókasöfn til að þróa afkastamikil, gagnamiðuð forrit þvert á fjölbreyttan arkitektúr. Það er með leiðandi C++ þýðanda sem útfærir SYCL*, þróun C++ fyrir ólíka tölvuvinnslu. Nánari upplýsingar er að finna í Intel oneAPI Base Toolkit web síðu. Til að flytja OpenCL FPGA hönnunina þína yfir í SYCL*, endurview Flutningur OpenCL FPGA hönnunar í SYCL* handbók sem sýnir mikilvægan mun á OpenCL og SYCL fyrir FPGA og veitir skref til að flytja OpenCL hönnunina þína.
- Eiginleikar og aðgerðir úrelt frá og með Intel Quartus Prime Pro Edition útgáfu 22.2
- Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Pro Edition útgáfu 22.2.
- Eiginleikar og aðgerðir úrelt frá og með Intel Quartus Prime Pro Edition útgáfu 22.1
- Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið úreltar í Intel Quartus Prime Pro Edition útgáfu 22.1.
Fjarlægðir eiginleikar og aðgerðir
- Aðgerðirnar og eiginleikarnir sem taldir eru upp í þessum hluta hafa verið fjarlægðir úr Intel Quartus Prime Pro Edition útgáfu 22.4 eða eldri.
- Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.4
- Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.4.
- Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.3
- Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.3.
- Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.2
- Engir Intel Quartus Prime eiginleikar eða aðgerðir hafa verið fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.2.
- Eiginleikar og aðgerðir fjarlægðar úr Intel Quartus Prime Pro Edition útgáfu 22.1
Fjarlægði stuðning fyrir eftirfarandi stýrikerfi:
- CentOS* 7.5
- Red Hat* Enterprise Linux* 7
- Windows Server* 2012
- Fjarlægði stuðning fyrir Siemens* EDA ModelSim* SE.
Notaðu Siemens EDA Questa* Advanced Simulator í staðinn.
Intel FPGA IP endurnýjun
Eftirfarandi Intel FPGA IP-tölvur eru með helstu útgáfuuppfærslur og verður að endurnýja þær í Intel Quartus Prime Pro Edition útgáfu 22.4:
- E-Tile Hard IP fyrir Ethernet Intel FPGA IP
- E-Tile Ethernet IP fyrir Intel Agilex FPGA
- Low Latency Ethernet 10G MAC Intel FPGA IP
- 1G/2.5G/5G/10G Multirate Ethernet PHY Intel FPGA IP
- Interlaken (2. kynslóð) Intel FPGA IP
- E-Tile CPRI PHY Intel FPGA IP
- P-Tile Avalon streymi Intel FPGA IP fyrir PCI Express
- F-Tile Auto-Negotiation and Link Training fyrir Ethernet Intel FPGA IP
- F-Tile Ethernet Intel FPGA Hard IP
- R-Tile Avalon streymi Intel FPGA IP fyrir PCI Express
- F-Tile Avalon streymi Intel FPGA IP fyrir PCI Express
- F-Tile Serial Lite IV Intel FPGA IP
- F-Tile Interlaken Intel FPGA IP
- F-Tile Ethernet Multirate Intel FPGA IP
- 5G LDPC-V Intel FPGA IP
- F-Tile Multichannel DMA Intel FPGA IP fyrir PCI Express
- P-Tile Multichannel DMA Intel FPGA IP fyrir PCI Express
- Serial Lite IV Intel FPGA IP
- Ytri minnistengi (EMIF) IP
- JESD204C Intel FPGA IP
Stuðningur við stýrikerfi
Upplýsingar um stýrikerfisstuðning fyrir Intel Quartus Prime Design Suite eru fáanlegar á Stýrikerfisstuðningssíðu Intel FPGA websíða.
Microsoft* Windows* Kröfur
Fyrir sum Microsoft* Windows* stýrikerfi krefst Intel Quartus Prime Design Suite ákveðins stýrikerfis eða annarra stillinga sem hér segir:
Tafla 1. Kröfur Microsoft Windows
| Stýrikerfi | Áskilið útgáfustig eða aðrar kröfur |
| Windows 10 | Windows 10 útgáfa 1607 eða nýrri.
Mælt er með Windows 10 útgáfu 1809 eða nýrri. |
| Windows 11 | N/A |
| Windows Server* 2016 | N/A |
| Windows Server 2019 | N/A |
Stýrikerfisstuðningsbreytingar í Intel Quartus Prime Pro Edition útgáfu 22.4
Það eru engar breytingar á stýrikerfisstuðningi í Intel Quartus Prime Pro Edition útgáfu 22.4.
Stýrikerfisstuðningsbreytingar í Intel Quartus Prime Pro Edition útgáfu 22.3
Stuðningur fyrir eftirfarandi stýrikerfi er bætt við frá og með Intel Quartus Prime Pro Edition útgáfu 22.3:
- Red Hat Enterprise Linux* 8.4
- Red Hat Enterprise Linux 8.6
- Ubuntu* Linux 22.04 LTS
- Windows 11
Stuðningur við eftirfarandi stýrikerfi er úreltur frá og með Intel Quartus Prime Pro Edition útgáfu 22.3:
- Windows Server 2016
- Windows 10 útgáfa 1607
Seinni útgáfa af Windows 10 er áfram studd. Flyttu Windows 10 uppsetninguna þína yfir í Windows 10 útgáfu 1809 eða nýrri.
Stuðningur við þessi stýrikerfi gæti verið fjarlægður í framtíðarútgáfu.
Stuðningur við eftirfarandi stýrikerfi er fjarlægður frá og með Intel Quartus Prime Pro Edition útgáfu 22.3:
- CentOS Linux 8.2
- Red Hat Enterprise Linux 8.2
Stýrikerfisstuðningsbreytingar í Intel Quartus Prime Pro Edition útgáfu 22.2
Það eru engar breytingar á stýrikerfisstuðningi í Intel Quartus Prime Pro Edition útgáfu 22.2.
Stýrikerfisstuðningsbreytingar í Intel Quartus Prime Pro Edition útgáfu 22.1
Stuðningur við eftirfarandi stýrikerfi er fjarlægður frá og með Intel Quartus Prime Pro Edition útgáfu 22.1:
- CentOS Linux 7.5
- CentOS Linux 8.0(1)
- CentOS Linux 8.1(1)
- Red Hat Enterprise Linux 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1(2)
- Windows Server 2012
- CentOS Linux 8.2 er áfram studd af Intel Quartus Prime Pro Edition útgáfu 22.1
- Red Hat* Enterprise Linux 8.2 er áfram studd af Intel Quartus Prime Pro Edition útgáfu 22.1
Tengdar upplýsingar
Stuðningur við stýrikerfi
Ráðleggingar um diskpláss og minni
Full uppsetning á Intel Quartus Prime Pro Edition hugbúnaðinum krefst allt að 140 GB af lausu plássi.
Stilltu kerfið þitt til að veita viðbótar sýndarminni sem jafngildir ráðlögðu líkamlegu vinnsluminni sem þarf til að vinna úr hönnun þinni. Þetta auka sýndarminni tvöfaldar í raun heildarminni sem er tiltækt til vinnslu
hönnun þinni.
Athugið: Hámarks sýndarminni gæti farið yfir þessar ráðleggingar. Þessar ráðleggingar eru byggðar á því magni af líkamlegu minni sem þarf til að ná keyrslutíma innan 10% af því sem næst á vélbúnaði með óendanlega mikið af vinnsluminni.
Tafla 2. Minni kröfur til að vinna úr Intel Agilex hönnun
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
| Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
| Intel Agilex | AGFA022, AGFA023, AGFA027 | 64 GB |
| AGFB022, AGFB023, AGFB027 | ||
| AGFC023 | ||
| AGFD023 | ||
| AGIA023, AGIA035, AIGA040 | ||
| AGIB022, AGIB023, AGIB027, AGIB041 | ||
| AGIC023, AGIC035, AGIC040 | ||
| AGID023, AGID041 | ||
| AGFA019, AGFB019, AGFC019, AGFD019, AGIB019, AGID019 | 48 GB | |
| AGFA006, AGFA008, AGFA012, AGFA014 | 32 GB | |
| AGFB006, AGFB008, AGFB012, AGFB014 |
Tafla 3. Minni kröfur til að vinna úr Intel Arria® 10 hönnun
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
| Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
| Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
| 10AT090, 10AX090 | 44 GB | |
| 10AS066, 10AX066 | 32 GB | |
| 10AS057, 10AX057 | 30 GB | |
| 10AS048, 10AX048 | 28 GB | |
| 10AS032, 10AX032 | 24 GB | |
| 10AS027, 10AX027 | 22 GB | |
| 10AS022, 10AX022 | 20 GB | |
| 10AS016, 10AX016 | 18 GB |
Tafla 4. Minni kröfur fyrir vinnslu Intel Cyclone® 10 GX hönnun
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
| Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
| Intel Cyclone® 10 GX | 10CX85, 10CX105, 10CX150, 10CX220 | 18 GB |
Tafla 5. Minni kröfur fyrir vinnslu Intel Stratix® 10 hönnun
Þessar kröfur eru þær sömu fyrir bæði Windows og Linux uppsetningar.
| Fjölskylda | Tæki | Mælt er með líkamlegu vinnsluminni |
| Intel Stratix® 10 | 1SD21BP, 1SD280P, 1SG10MH, 1SG210H, 1SG211H,
1SG250H, 1SG250L, 1SG280H, 1SG280L, 1SM21BE, 1SM21BH, 1SM21CH, 1ST210E, 1ST250E, 1ST280E, 1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L |
64 GB |
| 1SG165H, 1SG166H, 1SM16BE, 1SM16BH, 1SM16CH,
1ST165E, 1SX165H |
48 GB | |
| 1SD110P, 1SG040H, 1SG065H, 1SG085H, 1SG110H,
1ST040E, 1ST085E, 1ST110E, 1SX065H, 1SX085H,1SX110H, 1SX040H |
32 GB |
Intel Quartus Prime leyfisupplýsingar
Ef þú notar fljótandi leyfi með Intel Quartus Prime útgáfu 22.4 skaltu ganga úr skugga um að þú notir nýjustu útgáfuna af FlexLM leyfispúknum.
Fyrir frekari upplýsingar um Intel Quartus Prime leyfisveitingar, sjá Intel FPGA hugbúnaðaruppsetningu og leyfisveitingu.
Tengdar upplýsingar
- Intel FPGA hugbúnaðaruppsetning og leyfisveiting
- FlexLM leyfispúkar fyrir Intel FPGA hugbúnað
Stuðningur við tækjabúnað og stöðu pinna
Tafla 6. Endanleg tækjastuðningur
Endanleg samantekt, uppgerð, tímagreining og forritunarstuðningur er í boði fyrir tækin sem talin eru upp í þessari töflu. Þessi tæki hafa gengið frá tækjagerðum, bitastraumi og fastbúnaði.
| Tækjafjölskylda | Tæki |
| Intel Agilex | AGFA012R24B, AGFA014R24B, AGFA019R25A, AGFA022R25A, AGFA023R25A, AGFA027R25A
AGFB012R24B, AGFB014R24B, AGFB019R25A, AGFB022R25A, AGFB023R25A, AGFB027R25A AGFC019R25A, AGFC023R25A AGFD019R25A, AGFD023R25A |
| Intel Arria 10 | 10AS016, 10AS022, 10AS027, 10AS032, 10AS048, 10AS057,10AS066
10AT090, 10AT115 10AX016, 10AX022, 10AX027, 10AX032, 10AX048, 10AX057, 10AX066, 10AX090, 10AX115 |
| Intel Cyclone 10 GX | 10CX085, 10CX105, 10CX150, 10CX220 |
| Intel Stratix 10 | 1SD110P, 1SD21BP, 1SD280P
1SG040H, 1SG065H, 1SG085H, 1SG10MH, 1SG110H, 1SG165H, 1SG166H, 1SG210H, 1SG211H, 1SG250H, 1SG250L, 1SG280H, 1SG280L 1SM16BE, 1SM16BH, 1SM16CH, 1SM21BE, 1SM21BH, 1SM21CH, 1ST040E, 1ST085E, 1ST110E, 1ST165E, 1ST210E, 1ST250E, 1ST280E 1SX040H, 1SX065H, 1SX085H, 1SX110H, 1SX165H, 1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L |
Tafla 7. Bráðabirgðastuðningur við tæki
Full samantekt, uppgerð, tímagreining og forritunarstuðningur er í boði fyrir tækin sem talin eru upp í þessari töflu.
| Tækjafjölskylda | Tæki |
| Intel Agilex | AGFA012R24A, AGFA012R24C-AA, AGFA014R24A, AGFA014R24A-R0, AGFA014R24C-AA, |
| AGFA022R24C, AGFA022R31C, AGFA022R31C-AA, AGFA023R25A-R0, AGFA027R24C, | |
| AGFA027R24C-R0, AGFA027R24C-R2, AGFA027R25A-R0, AGFA027R31C, | |
| AGFA027R31C-AA, AGFA027R31C-R0 | |
| AGFB012R24A, AGFB012R24C-AA, AGFB014R24A, AGFB014R24A-R0, AGFB014R24C-AA, | |
| AGFB022R24C, AGFB022R31C, AGFB022R31C-AA, AGFB023R25A-R0, AGFB027R24C, | |
| AGFB027R24C-R0, AGFB027R24C-R2, AGFB027R25A-R0, AGFB027R31C, | |
| AGFB027R31C-AA, AGFB027R31C-R0 | |
| AGFC023R25A-R0 | |
| AGFD023R25A-R0 | |
| AGIA023R18A-R0, AGIA040R39A-R0 | |
| AGIB022R31B, AGIB022R31B-AA, AGIB023R18A-R0, AGIB027R29A-R0, AGIB027R29A-R1, | |
| AGIB027R29A-R2, AGIB027R29A-R3, AGIB027R31B, AGIB027R31B-AA, AGIB027R31B-R0 | |
| AGIC023R18A-R0, AGIC040R39A-R0 | |
| AGID023R18A-R0 | |
| Intel Stratix 10 | 1SD110P-S1 |
| 1SG280H-S3, 1SG280L-S3 | |
| 1SX280H-S3, 1SX280L-S3 |
Tafla 8. Fyrirfram stuðningur við tæki
Stuðningur við samantekt, uppgerð og tímagreiningu er veittur fyrir þessi tæki. Þjálfarinn býr til pinout upplýsingar fyrir þessi tæki í þessari útgáfu, en býr ekki til forritun files.
| Tækjafjölskylda | Tæki |
| Intel Agilex | AGFA006R16A, AGFA006R24C, AGFA008R16A, AGFA008R24C, AGFA012R24C, AGFA014R24C, AGFA019R24C, AGFA019R31C, AGFA023R24C, AGFA023R31C |
| AGFB006R16A, AGFB006R24C, AGFB008R16A, AGFB008R24C, AGFB012R24C, | |
| AGFB014R24C, AGFB019R24C, AGFB019R31C, AGFB023R24C, AGFB023R31C | |
| AGFC019R24C, AGFC019R31C, AGFC023R24C, AGFC023R31C | |
| AGFD019R24C, AGFD019R31C, AGFD023R24C, AGFD023R31C | |
| AGIA035R39A, AGIA040R39A | |
| AGIB019R18A, AGIB019R31B, AGIB022R29A, AGIB023R18A, AGIB023R31B, | |
| AGIB027R29A, AGIB027R29B, AGIB041R29D-R0 | |
| AGIC035R39A, AGIC040R39A | |
| AGID019R18A, AGID019R31B, AGID023R18A, AGID023R31B, AGID041R29-R0 |
Tafla 9. Upphaflegur stuðningur við tæki
Stuðningur við samantekt, uppgerð og tímagreiningu er veittur fyrir þessi tæki. Forritun files og pinout upplýsingar eru ekki búnar til fyrir þessi tæki í þessari útgáfu.
| Tækjafjölskylda | Tæki |
| Engin tæki með þessa stöðu í Intel Quartus Prime Pro Edition útgáfu 22.4. | |
Breytingar á tækjastuðningi
- Frá og með Intel Quartus Prime útgáfu 20.1 var nýtt tækjastuðningsstig kynnt: Bráðabirgðastuðningur tækja.
- Fyrir tæki með bráðabirgðastuðningi tækja, veitir Intel Quartus Prime fulla samantekt, uppgerð, tímagreiningu og forritunarstuðning en tækjalíkön, bitastraumar og fastbúnað fyrir tækin eru ekki endanleg.
- Tæki með endanlegri tækjastuðningi (áður Fullur) hafa gengið frá tækjagerðum, bitastraumum og fastbúnaði.
- Skilgreiningar á upphafs- og framfarastuðningsstigum tækja eru óbreyttar.
- Fyrir upplýsingar um þekkt vandamál í tækjum og lausnir, sjá Intel FPGA Knowledge Base.
Stuðningur við nýja tæki
Stuðningur fyrir eftirfarandi tæki er bætt við Intel Quartus Prime Pro Edition útgáfu 22.4 með Advance tækjastuðningi:
- AGIB041R29D-R0
- AGID041R29D-R0
Breyttur tækjastuðningur
Stuðningur við eftirfarandi tæki færist úr háþróuðum tækjastuðningi yfir í bráðabirgðastuðning tækja:
- AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
- AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
- AGIB022R31B, AGIB027R31B
F-Tile stuðningsbreytingar
Fyrir Intel Agilex hönnun með F-Tile IP sem voru þróuð með Intel Quartus Prime Pro Edition útgáfu 22.1 eða eldri, verður þú að endurskapa F-Tile IP í Intel Quartus Prime Pro Edition útgáfu 22.2 eða nýrri.
Eftirfarandi tæki verða fyrir áhrifum:
- AGFA006R16A, AGFA008R16A, AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
- AGFB006R16A, AGFB008R16A, AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
- AGIB022R29A, AGIB022R31B, AGIB027R29A, AGIB027R31B
Tímagerðarlíkan, afllíkan og staða tækis
Aðeins tæki með tímatökulíkan, afllíkan og tækjastöðu Final henta fyrir framleiðslukerfi.
Tafla 10. Tímagerðarlíkan, afllíkan og tækjastaða fyrir Intel Agilex tæki
| Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
| Intel Agilex | AGFC023R25A-AE, AGFD023R25A-AE | Úrslitaleikur – 22.3 | Úrslitaleikur – 22.3 | Úrslitaleikur – 22.3 |
| AGFA019R25A, AGFA023R25A | Úrslitaleikur – 22.2 | Úrslitaleikur – 22.2 | Úrslitaleikur – 22.2 | |
| AGFB019R25A, AGFB023R25A | ||||
| AGFC019R25A, AGFC023R25A | ||||
| AGFD019R25A, AGFD023R25A | ||||
| AGFA012R24B, AGFA014R24B, AGFA022R25A, AGFA027R25A | Úrslitaleikur – 21.3 | Úrslitaleikur – 21.3 | Úrslitaleikur – 21.3 | |
| AGFB012R24B, AGFB014R24B, | ||||
| AGFB022R25A, AGFB027R25A | ||||
| AGFA006R16A, AGFA006R24C, | Forkeppni | Forkeppni | Forkeppni | |
| AGFA008R16A, AGFA008R24C, | ||||
| AGFA012R24C, AGFA012R24C-AA, | ||||
| AGFA014R24C, AGFA014R24C-AA | ||||
| AGFA019R24C, AGFA019R31C, | ||||
| AGFA022R24C, AGFA022R31C, | ||||
| AGFA022R31C-AA, AGFA023R24C, | ||||
| AGFA023R31C, AGFA027R24C, | ||||
| AGFA027R31C, AGFA027R31C-AA | ||||
| AGFB006R16A, AGFB006R24C, | ||||
| AGFB008R16A AGFB008R24C, | ||||
| AGFB012R24C, AGFB012R24C-AA, | ||||
| AGFB014R24C, AGFB014R24C-AA, | ||||
| AGFB019R24C, AGFB019R31C, | ||||
| AGFB022R24C, AGFB022R31C, | ||||
| AGFB022R31C-AA, AGFB023R24C, | ||||
| AGFB023R31C, AGFB027R24C, | ||||
| AGFB027R31C, AGFB027R31C-AA | ||||
| AGFC019R24C, AGFC019R31C, | ||||
| AGFC023R24C, AGFC023R31C | ||||
| AGFD019R24C, AGFD019R31C, | ||||
| AGFD023R24C, AGFD023R31C | ||||
| AGIA035R39A, AGIA040R39A | ||||
| AGIB019R18A, AGIB019R31B, | ||||
| AGIB022R29A, AGIB022R31B, | ||||
| AGIB022R31B-AA, AGIB023R18A, | ||||
| AGIB023R31B, AGIB027R29A, | ||||
| AGIB027R29B, AGIB027R31B, | ||||
| AGIB027R31B-AA | ||||
| AGIC035R29A, AGIC040R39A | ||||
| AGID019R18A, AGID019R31B, | ||||
| AGID023R18A, AGID023R31B | ||||
| AGFA014R24A-R0, AGFA023R25A-R0, | Forkeppni | Forkeppni | Forkeppni | |
| AGFA027R24C-R0, AGFA027R24C-R2, | ||||
| AGFA027R25A-R0, AGFA027R31C-R0 | ||||
| AGFB014R24A-R0, AGFB023R25A-R0, | ||||
| AGFB027R24C-R0, AGFB027R24C-R2, | ||||
| AGFB027R25A-R0, AGFA027R31C-R0 | ||||
| AFGC023R25A-R0 | ||||
| AFGD023R25A-R0 | ||||
| AGIA023R18A-R0, AGIA040R39A-R0 | ||||
| AGIB023R18A-R0, AGIB027R29A-R0, | ||||
| AGIB027R29A-R1, AGIB027R29A-R2, | ||||
| AGIB027R29A-R3, AGIB027R31B-R0, | ||||
| AGIB041R29D-R0 | ||||
| AGIC023R18A-R0, AGIC040R39A-R0 | ||||
| AGID023R18A-R0, AGID041R29D-R0 |
Tafla 11. Tímagerðarlíkan, kraftlíkan og tækjastaða fyrir Intel Arria 10 tæki
| Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
| Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022,
10AX027, 10AS027, 10AX032, 10AS032 |
Úrslitaleikur – 16.1 (3)
(4) |
Úrslitaleikur – 17.0 | Úrslitaleikur – 17.0 |
| 10AX048, 10AS048 | Úrslitaleikur – 16.0.2 (4) | Úrslitaleikur – 17.0 | Úrslitaleikur – 17.0 | |
| 10AX057, 10AS057, 10AX066, 10AS066,
10AT090, 10AX090 |
Úrslitaleikur – 16.0.1 (4) | Úrslitaleikur – 16.0.1 | Úrslitaleikur – 16.0.1 | |
| 10AX115, 10AT115 | Úrslitaleikur – 16.0 (4) | Úrslitaleikur – 16.0 | Úrslitaleikur – 16.0 |
Tafla 12. Tímagerðarlíkan, afllíkan og tækjastaða fyrir Intel Cyclone 10 tæki
| Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
| Intel Cyclone 10 GX | 10CX085, 10CX105, 10CX150, 10CX220 | Úrslitaleikur – 17.0 | Úrslitaleikur – 18.0 | Úrslitaleikur – 18.0 |
Tafla 13. Tímagerðarlíkan, kraftlíkan og tækjastaða fyrir Intel Stratix 10 tæki
| Tækjafjölskylda | Tæki | Staða tímasetningar líkans | Staða afllíkans | Staða tækis |
| Intel Stratix 10 | 1SG280L, 1SX280L, 1SG250L, 1SX250L | Úrslitaleikur – 18.0.1 | Úrslitaleikur – 18.1.1 | Úrslitaleikur – 18.1.1 |
| 1SG280H, 1SX280H, 1SG250H, 1SX250H,
1SG210H, 1SX210H, 1SG165H, 1SX165H, 1SG110H, 1SX110H, 1SG085H, 1SX085H |
Úrslitaleikur – 18.1.1 | Úrslitaleikur – 18.1.1 | Úrslitaleikur – 18.1.1 | |
| 1ST280E, 1ST250E | Úrslitaleikur – 18.1.1 | Úrslitaleikur – 19.4 | Úrslitaleikur – 19.4 | |
| 1SM21BH, 1SM21CH, 1SM16BH, 1SM16CH | Úrslitaleikur – 19.1 | Úrslitaleikur – 19.1 | Úrslitaleikur – 19.1 | |
| 1SG10MH, 1SG166H, 1SG211H | Úrslitaleikur – 19.1 | Úrslitaleikur – 19.3 | Úrslitaleikur – 19.3 | |
| 1ST210E, 1SM21BE, 1ST165E, 1SM16BE | Úrslitaleikur – 19.2 | Úrslitaleikur – 19.4 | Úrslitaleikur – 19.4 | |
| 1SD280P, 1ST040E, 1ST085E, 1ST110E | Úrslitaleikur – 20.1 | Úrslitaleikur – 20.1 | Úrslitaleikur – 20.1 | |
| 1SD110P | Úrslitaleikur – 20.2 | Úrslitaleikur – 20.2 | Úrslitaleikur – 20.2 | |
| 1SD21BP | Úrslitaleikur – 20.3 | Úrslitaleikur – 20.3 | Úrslitaleikur – 20.3 | |
| 1SG040H, 1SX040H | Úrslitaleikur – 20.3 | Úrslitaleikur – 20.3 | Úrslitaleikur – 21.1 | |
| 1SG065H, 1SX065H | Úrslitaleikur – 21.2 | Úrslitaleikur – 21.2 | Úrslitaleikur – 21.2 |
- 3) Tæki með -1 hraðaeinkunn voru endanleg í Intel Quartus Prime hugbúnaðarútgáfu 17.0
- (4) Öll hernaðarleg tæki voru fullgerð í Intel Quartus Prime hugbúnaðarútgáfu 18.0.1.
IBIS módel
Tafla 14. IBIS líkanstaða fyrir Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4
| Tækjafjölskylda | Staða IBIS líkans |
| Intel Agilex | Vísa til IBIS módel fyrir Intel tæki. |
| Intel Arria 10 | |
| Intel Cyclone 10 GX | |
| Intel Stratix 10 |
Frá og með Intel Agilex tækjafjölskyldunni eru IBIS gerðir aðeins fáanlegar á netinu á eftirfarandi web síða: IBIS Models fyrir Intel FPGA tæki. Þessi síða er uppfærð eftir því sem IBIS gerðir fyrir tæki verða fáanlegar eða eru uppfærðar.
EDA tengi upplýsingar
Tafla 15. Myndunarverkfæri sem styðja Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4
| Myndunarverkfæri | Útgáfa |
| Siemens EDA Precision* FPGA Synthesis | Siemens EDA Precision FPGA Synthesis útgáfur sem styðja Intel Quartus Prime hugbúnaðinn eru venjulega gefnar út eftir útgáfu Intel Quartus Prime hugbúnaðarins. Hafðu samband við Siemens EDA fyrir útgáfur af Siemens EDA Precision FPGA Synthesis sem styðja Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4. |
| Synopsys* Synplify*, Synplify Pro* og Synplify Premier | Synopsys Synplify, Synplify Pro og Synplify Premier útgáfur sem styðja Intel Quartus Prime hugbúnaðinn eru venjulega gefnar út eftir útgáfu Intel Quartus Prime hugbúnaðarins. Hafðu samband við Synopsys fyrir útgáfur af Synopsys Synplify, Synplify Pro og Synplify Premier sem styðja Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4. |
Tafla 16. Hermirverkfæri sem styðja Intel Quartus Prime Pro Edition hugbúnaðarútgáfu 22.4
Eftirfarandi uppgerð verkfæri styðja RTL og hagnýtur hlið-stigi uppgerð. Aðeins 64-bita uppgerð verkfæri eru studd.
| Uppgerð verkfæri | Útgáfa |
| Aldec Active-HDL | 13.0 (aðeins Windows) |
| Aldec Riviera-PRO | 2021.10 |
| Cadence* Xcelium* Parallel Logic Simulation | 21.09.003 (aðeins Linux*) |
| Questa-Intel FPGA útgáfa | 2022.1 |
| Siemens EDA Questa Advanced Simulator | 2021.4 |
| Synopsys VCS* og VCS MX | S-2021.09-1 (aðeins Linux) |
Questa-Intel FPGA Edition krefst FlexLM leyfispúkunnar útgáfu 11.16.4.0 (eða nýrri). Þú getur fengið leyfispúkann frá FlexLM License Daemons fyrir Intel FPGA hugbúnað web síðu.
Þú getur fengið Intel FPGA útgáfu af hermiverkfærum frá niðurhalsmiðstöðinni fyrir FPGA.
Stuðningur við stýrikerfi fyrir Questa-Intel FPGA útgáfu útgáfu 2022.2
- Red Hat Enterprise Linux 8
- SUSE* Linux Enterprise Server 12
- SUSE Linux Enterprise Server 15
- Windows 10 (64-bita)
Tengdar upplýsingar
- Intel Quartus Prime Pro Edition hönnunarhugbúnaður fyrir Linux
- Intel Quartus Prime Pro Edition hönnunarhugbúnaður fyrir Windows
Veiruvarnar staðfesting
Intel Quartus Prime hugbúnaðarútgáfa 22.4 hefur verið staðfest víruslaus með eftirfarandi hugbúnaði:
Hugbúnaður til að staðfesta vírusvörn
McAfee VirusScan stjórnlína fyrir Linux64 Útgáfa: 7.0.0.477
AV Engine útgáfa: 6300.9389 fyrir Linux64.
Uppsett útgáfa: 10559 búin til 12. desember 2022
Hugbúnaðarvandamál leyst
Eftirfarandi þjónustubeiðnir voru lagfærðar eða leystar á annan hátt í Intel Quartus Prime Pro Edition útgáfu 22.4:
Tafla 17. Vandamál leyst í Intel Quartus Prime Pro Edition útgáfu 22.4
| Númer þjónustubeiðna | |||||||
| 00387444 | 00553391 | 00634869 | 00644742 | 00661097 | 00669572 | 00673194 | 00692360 |
| 00694974 | 00698210 | 00698723 | 00702882 | 00702926 | 00703707 | 00704117 | 00706447 |
| 00708964 | 00710869 | 00712835 | 00714701 | 00716383 | 00726915 | 00729961 | 00731682 |
| 00733009 | 00733051 | 00733220 | 00733414 | 00733701 | 00736181 | 00736498 | 00736688 |
| 00737681 | 00737702 | 00737956 | 00738733 | 00739075 | 00740688 | 00741731 | 00742654 |
| 00743029 | 00743329 | 00745149 | 00745934 | 00746401 | 00748148 | 00748465 | 00748760 |
| 00749380 | 05355807 | 05640050 | 11340561 | ||||
Hugbúnaðarplástrar innifalinn í þessari útgáfu
Intel Quartus Prime Pro Edition útgáfa 22.4 inniheldur eftirfarandi plástra fyrir fyrri útgáfur af Intel Quartus Prime Pro Edition hugbúnaði:
Tafla 18. Hugbúnaðarplástrar sem fylgja með Intel Quartus Prime Pro Edition útgáfu 22.4
| Hugbúnaðarútgáfa | Plástur | Beiðninúmer viðskiptavinarþjónustu |
| Intel Quartus Prime útgáfa 22.3 | 0.27fw | – |
| Intel Quartus Prime útgáfa 22.3 | 0.25 | 00745149 |
| Intel Quartus Prime útgáfa 22.3 | 0.21 | 00743329 |
| Intel Quartus Prime útgáfa 22.3 | 0.19 | – |
| Intel Quartus Prime útgáfa 22.3 | 0.18 | 00735205 |
| Intel Quartus Prime útgáfa 22.3 | 0.15 | – |
| Intel Quartus Prime útgáfa 22.3 | 0.06 | – |
| Intel Quartus Prime útgáfa 22.3 | 0.04 | – |
| Intel Quartus Prime útgáfa 22.3 | 0.01 | – |
| Intel Quartus Prime útgáfa 22.2 | 0.39fw | – |
| Intel Quartus Prime útgáfa 22.2 | 0.38 | 00736498 |
| Intel Quartus Prime útgáfa 22.2 | 0.36 | 00735205 |
| Intel Quartus Prime útgáfa 22.2 | 0.35 | 00716738 |
| Intel Quartus Prime útgáfa 22.2 | 0.33 | – |
| Intel Quartus Prime útgáfa 22.2 | 0.31 | 00731682 |
| Intel Quartus Prime útgáfa 22.2 | 0.3 | – |
| Intel Quartus Prime útgáfa 22.2 | 0.29fw | 00706447 |
| Intel Quartus Prime útgáfa 22.2 | 0.28fw | – |
| Intel Quartus Prime útgáfa 22.1 | 0.40fw | – |
| Intel Quartus Prime útgáfa 22.1 | 0.37 | 00733220 |
| Intel Quartus Prime útgáfa 22.1 | 0.34fw | – |
| Intel Quartus Prime útgáfa 22.1 | 0.32fw | 00715716 |
| Intel Quartus Prime útgáfa 21.4 | 0.80fw | 00000000 |
| Intel Quartus Prime útgáfa 21.4 | 0.78 | 00736498 |
| Intel Quartus Prime útgáfa 21.4 | 0.77fw | 00706447 |
| Intel Quartus Prime útgáfa 21.4 | 0.72 | 00000000 |
| Intel Quartus Prime útgáfa 21.4 | 0.69 | 00698723 |
| Intel Quartus Prime útgáfa 21.4 | 0.66 | 00731682 |
| Intel Quartus Prime útgáfa 21.4 | 0.64 | – |
| Intel Quartus Prime útgáfa 21.3 | 0.5 | 00735343 |
| Intel Quartus Prime útgáfa 21.2 | 0.49 | 00733220 |
| áfram… | ||
| Hugbúnaðarútgáfa | Plástur | Beiðninúmer viðskiptavinarþjónustu |
| Intel Quartus Prime útgáfa 21.2 | 0.48 | – |
| Intel Quartus Prime útgáfa 21.2 | 0.47 | 00716383 |
| Intel Quartus Prime útgáfa 21.2 | 0.43 | 00698723 |
| Intel Quartus Prime útgáfa 21.1 | 0.63 | 05418969 |
| Intel Quartus Prime útgáfa 20.4 | 0.53 | – |
Nýjustu þekktu Intel Quartus Prime hugbúnaðarmálin
- Upplýsingar um þekkt vandamál sem hafa áhrif á Intel Quartus Prime Pro Edition útgáfu 22.4 eru fáanlegar í Intel FPGA Knowledge Base.
- Fyrir nýjustu upplýsingar um vandamál sem hafa áhrif á Intel Quartus Prime Pro Edition útgáfu 22.4, tilvísun tilview Intel FPGA Knowledge Base greinarnar sem eiga við Intel Quartus Prime Pro Edition útgáfu 22.4.
- Þú getur fundið þekktar upplýsingar um vandamál fyrir fyrri útgáfur af Quartus Prime hugbúnaðinum á Intel FPGA Knowledge Base web síðu.
- Upplýsingar um þekkt hugbúnaðarvandamál sem hafa áhrif á fyrri útgáfur af Quartus
- II hugbúnaður er fáanlegur á Intel Quartus Prime og Quartus II hugbúnaðarstuðningi web síðu.
- Upplýsingar um vandamál sem hafa áhrif á Intel FPGA IP bókasafnið eru fáanlegar í útgáfuskýringum fyrir hverja IP. Þú getur fundið IP útgáfuskýrslur á Intel FPGA Documentation Index web síðu.
Tengdar upplýsingar
- Intel FPGA þekkingargrunnur
- Intel Quartus Prime og Quartus II hugbúnaðarstuðningur
- Útgáfuskýringar frá Intel FPGA og forritanlegum tækjum
Intel Quartus Prime Pro Edition hugbúnaður og stuðningur við útgáfuskýrslur
Fyrir nýjustu og fyrri útgáfur þessara útgáfuskýringa, vísa til Intel Quartus Prime Pro Edition hugbúnaðar og tækjastuðnings útgáfuskýringar. Ef hugbúnaðarútgáfa er ekki á listanum gilda útgáfuskýringar fyrir fyrri hugbúnaðarútgáfu.
Intel Quartus Prime Pro Edition hugbúnaðarútgáfa
Endurskoðunarsaga skjala
| Skjalaútgáfa | Intel Quartus Prime útgáfa | Breytingar |
| 2022.12.19 | 22.4 | • Upphafleg útgáfa. |
Intel Quartus Prime Pro Edition: Útgáfa 22.4 útgáfuskýringar á hugbúnaði og tækjastuðningi
Skjöl / auðlindir
![]() |
Intel 22.4 Quartus Prime Pro Edition hugbúnaður [pdfNotendahandbók Útgáfa 22.4, 22.4, 22.4 Quartus Prime Pro Edition hugbúnaður, Quartus Prime Pro Edition hugbúnaður, Prime Pro Edition hugbúnaður, Pro Edition hugbúnaður, útgáfuhugbúnaður, hugbúnaður |

