AN 987: Static Update Partial
Kennsla um endurstillingu
Stöðug uppfærsla að hluta endurstillingarkennsla fyrir Intel® ™ Agilex F-Series FPGA þróunarborð
Þessi umsóknarskýring sýnir staðbundna uppfærslu að hluta (SUPR) á Intel ® F-Series FPGA þróunarborðinu. Hlutaendurstilling (PR) gerir þér kleift að endurstilla hluta af Intel FPGA á virkan hátt, á meðan FPGA sem eftir er heldur áfram að starfa. PR útfærir margar persónur á tilteknu svæði í hönnun þinni, án þess að hafa áhrif á rekstur á svæðum utan þessa svæðis. Þessi aðferðafræði gefur eftirfarandi kosttager í kerfum þar sem margar aðgerðir deila sömu FPGA auðlindum í tíma:
- Leyfir endurstillingu keyrslutíma
- Eykur sveigjanleika hönnunar
- Dregur úr stöðvunartíma kerfisins
- Styður kraftmikla tíma-multiplexing aðgerðir í hönnuninni
- Lækkar kostnað og orkunotkun með hagkvæmri nýtingu borðpláss
Hvað er Static Update Partial Reconfiguration?
Í hefðbundnum PR krefst allar breytingar á kyrrstöðu svæðinu endursamsetningu á hverri persónu. Hins vegar, með SUPR geturðu skilgreint sérhæft svæði sem leyfir breytingar, án þess að þurfa að endursafna persónur. Þessi tækni er gagnleg fyrir hluta af hönnun sem þú gætir viljað breyta til að draga úr áhættu, en sem krefst aldrei endurstillingar á keyrslutíma.
1.1. Kennslukröfur
Þessi kennsla krefst eftirfarandi:
- Grunnþekking á Intel Quartus® Prime Pro Edition FPGA útfærsluflæði og verkefni files.
- Uppsetning á Intel Quartus Prime Pro Edition útgáfu 22.3, með Intel Agilex tæki stuðningi.
- Fyrir FPGA útfærslu, JTAG tenging við Intel Agilex F-Series FPGA þróunarborðið á bekknum.
- Sækja tilvísunarhönnun Files. Tengdar upplýsingar
- Notendahandbók um endurstillingu að hluta
- Leiðbeiningar um endurstillingar að hluta
- Netþjálfun fyrir endurstillingu að hluta
Intel Corporation. Allur réttur áskilinn. Intel, Intel lógóið og önnur Intel merki eru vörumerki Intel Corporation eða dótturfélaga þess. Intel ábyrgist frammistöðu FPGA- og hálfleiðaravara sinna samkvæmt gildandi forskriftum í samræmi við staðlaða ábyrgð Intel, en áskilur sér rétt til að gera breytingar á hvaða vörum og þjónustu sem er hvenær sem er án fyrirvara. Intel tekur enga ábyrgð eða skaðabótaábyrgð sem stafar af notkun eða notkun á neinum upplýsingum, vöru eða þjónustu sem lýst er hér nema sérstaklega hafi verið samið skriflega af Intel. Viðskiptavinum Intel er bent á að fá nýjustu útgáfuna af tækjaforskriftum áður en þeir treysta á birtar upplýsingar og áður en pantað er fyrir vörur eða þjónustu. *Önnur nöfn og vörumerki geta verið eign annarra.
ISO 9001:2015 Skráð
1.2. Reference Design Overview
Þessi viðmiðunarhönnun samanstendur af einum 32 bita teljara. Á borðinu tengir hönnunin klukkuna við 50MHz uppsprettu og tengir síðan úttakið við fjórar LED á borðinu. Val á úttakinu úr teljarabitunum, í ákveðinni röð, veldur því að LED-ljósin blikka á ákveðinni tíðni. Top_counter einingin er SUPR svæðið.
Mynd 1. Flat Reference Design
1.3. Static Update Region lokiðview
Eftirfarandi mynd sýnir blokkarmyndina fyrir PR hönnun sem inniheldur SUPR svæði. Blokk A er efsta stöðusvæðið. Blokk B er SUPR svæðið. Blokk C er PR skiptingin.
Mynd 2. PR hönnun með SUPR svæði
- A Top Static Region—inniheldur hönnunarrökfræði sem breytist ekki. Að breyta þessu svæði krefst endursamsetningar á öllum tengdum persónum. Stöðva svæðið inniheldur þann hluta hönnunarinnar sem breytist ekki fyrir neina persónu. Þetta svæði getur innihaldið jaðar- og kjarnatækifæri. Þú verður að skrá öll samskipti milli SUPR og PR skiptinganna á kyrrstæða svæðinu. Þessi krafa hjálpar til við að tryggja tímasetningu lokunar fyrir hvers kyns persónur, með tilliti til kyrrstæða svæðisins.
- B SUPR Region—inniheldur rökfræði sem er eingöngu kjarna sem gæti hugsanlega breyst til að draga úr áhættu, en krefst aldrei endurstillingar á keyrslutíma. SUPR svæðið hefur sömu kröfur og takmarkanir og PR skiptingin. SUPR skiptingin getur aðeins innihaldið kjarnaauðlindir. Þess vegna verður SUPR skiptingin að vera undirsneiðing af rótarsneiðinni á efsta stigi sem inniheldur hönnunarjaðarinn og klukkur. Breyting á SUPR svæðinu framleiðir SRAM hlut File (.sof) sem er samhæft öllum núverandi samansettum Raw Binary File (.rbf) files fyrir PR skipting C.
- C PR skipting—inniheldur handahófskennda rökfræði sem þú getur endurforritað á keyrslutíma með hvaða hönnunarrógík sem er sem passar og nær tímasetningu lokun meðan á samantekt stendur.
1.4. Sækja tilvísunarhönnun Files
Kennsla um endurstillingu að hluta er fáanleg á eftirfarandi stað: https://github.com/intel/fpga-partial-reconfig
Til að hlaða niður kennsluefninu:
- Smelltu á Clone eða niðurhal.
- Smelltu á Download ZIP. Taktu upp fpga-partial-reconfig-master.zip file.
- Farðu í tutorials/agilex_pcie_devkit_blinking_led_supr undirmöppuna til að fá aðgang að tilvísunarhönnuninni.
Flatmöppan samanstendur af eftirfarandi files:
Tafla 1. Tilvísunarhönnun Files
File Nafn | Lýsing |
efst. sv | Toppstig file sem inniheldur flata útfærslu hönnunarinnar. Þessi eining sýnir blinking_led undirskiptinguna og top_counter eininguna. |
t op_counter . sv | 32-bita teljari á efstu stigi sem stjórnar LED [1] beint. Skráð framleiðsla teljarans stjórnar LED [0] og knýr einnig LED [2] og LED [3] með blikkandi_led einingunni. |
blikkandi_leiddi. sdc | Skilgreinir tímatakmarkanir fyrir verkefnið. |
blikkandi_leiddi. sv | Í þessari kennslu breytir þú þessari einingu í foreldra PR skipting. Einingin fær skráð úttak af top_counter einingu, sem stjórnar LED [2] og LED [3]. |
blinking_led.qpf | Intel Quartus Prime verkefni file inniheldur lista yfir allar endurskoðanir í verkefninu. |
blikkandi_leiddi. qs f | Intel Quartus Prime stillingar file sem inniheldur verkefni og stillingar fyrir verkefnið. |
Athugið: The supr mappan inniheldur allt sett af files þú býrð til með því að nota þetta forrit ath. Vísa til þessara files hvenær sem er á meðan á göngunni stendur.
1.5. Tilvísun Hönnun Walkthrough
Eftirfarandi skref lýsa innleiðingu SUPR með flatri hönnun:
- Skref 1: Að byrja
- Skref 2: Búðu til hönnunarskiptingar
- Skref 3: Úthluta staðsetningar- og leiðarsvæðum
- Skref 4: Skilgreindu persónur
- Skref 5: Búðu til endurskoðun
- Skref 6: Settu saman grunnútgáfuna
- Skref 7: Uppsetning PR Innleiðingar endurskoðun
- Skref 8: Breyttu SUPR rökfræðinni
- Skref 9: Forritaðu stjórnina
Mynd 3. SUPR safnflæði
1.5.1. Skref 1: Að byrja
Til að afrita tilvísunarhönnun files að vinnuumhverfi þínu og settu saman blinking_led flata hönnunina:
- Áður en þú byrjar skaltu hlaða niður tilvísunarhönnun Files á síðu 5.
- Búðu til agilex_pcie_devkit_blinking_led_supr möppuna í vinnuumhverfinu þínu.
- Afritaðu niðurhalaða kennsluefni/agilex_pcie_devkit_blinking_led/flat undirmöppuna í agilex_pcie_devkit_blinking_led_supr möppuna.
- Í Intel Quartus Prime Pro Edition hugbúnaðinum, smelltu á File ➤ Opnaðu Project og opnaðu /flat/blinking_led.qpf.
- Til að setja saman grunnhönnunina, smelltu á Vinnsla ➤ Byrjaðu samantekt. Tímagreiningarskýrslur opnast sjálfkrafa þegar samantekt er lokið. Þú getur lokað tímagreiningartækinu í bili.
1.5.2. Skref 2: Búðu til hönnunarskiptingar
Búðu til hönnunarsneiðar fyrir hvert svæði sem þú vilt endurstilla að hluta. Þú getur búið til hvaða fjölda óháðra skiptinga eða PR-svæða sem er í verkefninu þínu. Fylgdu þessum skrefum til að búa til hönnunarskiptingar fyrir u_blinking_led tilvikið sem PR skipting og u_top_counter tilvikið sem SUPR svæði:
- Hægrismelltu á u_blinking_led tilvikið í Project Navigator og smelltu á Design Partition
➤ Endurstillanlegt. Tákn fyrir hönnunarskiptingu birtist við hlið hvers tilviks sem er stillt sem skipting.
Mynd 4. Að búa til Hönnunarskiptingar - Endurtaktu skref 1 til að búa til skipting fyrir u_top_counter tilvikið.
- Smelltu á Assignments ➤ Design Partitions Window. Glugginn sýnir allar hönnunarþiljur í verkefninu.
Mynd 5. Hönnunar skiptingargluggi
- Tvísmelltu á blinking_led Partition Name reitinn til að endurnefna hann í pr_partition. Á sama hátt, endurnefna top_counter skiptinguna í supr_partition.
Að öðrum kosti, með því að bæta eftirfarandi línum við blinking_led.qsf, verða þessar skiptingar til:
set_instance_assignment -nafn SKIPING pr_skipting \ -to u_blinking_led -eining efst
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -nafn SKIPING supr_partition \ -to u_top_counter -eining efst
set_instance_assignment -nafn PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top
1.5.3. Skref 3: Úthluta staðsetningar- og leiðarsvæðum
Fyrir hverja grunnútgáfu sem þú býrð til notar þýðandinn PR skipting svæðisúthlutun til að setja samsvarandi persónukjarna á frátekna svæðinu. Fylgdu þessum skrefum til að finna og úthluta PR svæði í grunnplani tækisins fyrir grunnendurskoðun þína:
- Í Project Navigator Hierarchy flipanum, hægrismelltu á u_blinking_led tilvikið og smelltu síðan á Logic Lock Region ➤ Create New Logic Lock Region. Svæðið birtist í Logic Lock Regions glugganum.
- Tilgreindu svæði breidd 5 og hæð 5.
- Tilgreindu staðsetningarsvæðishnitin fyrir u_blinking_led í Uppruna dálknum. Uppruni samsvarar neðra vinstra horni svæðisins. Tilgreindu uppruna sem X166_Y199. Þjálfarinn reiknar (X170 Y203) sem hnit efst til hægri.
- Virkjaðu frátekið og aðeins kjarnavalkosti fyrir svæðið.
- Tvísmelltu á valkostinn Routing Region. Glugginn Logic Lock Routing Region Settings gluggann birtist.
- Fyrir leiðargerðina, veldu Fast með stækkun. Þessi valkostur úthlutar sjálfkrafa útvíkkun lengd eins.
- Endurtaktu fyrri skref til að úthluta eftirfarandi tilföngum fyrir u_top_counter skiptinguna:
• Hæð—5
• Breidd—5
• Uppruni—X173_Y199
• Leiðarsvæði— Fast með stækkun með stækkunarlengd upp á eina.
• Frátekið—Kveikt
• Aðeins kjarna—Kveikt
Mynd 6. Logic Lock Regions Gluggi
Athugið: Leiðarsvæðið verður að vera stærra en staðsetningarsvæðið til að veita aukinn sveigjanleika fyrir leiðarkerfi þýðandanstage, þegar þýðandinn vísar mismunandi persónum.
- Staðsetningarsvæðið þitt verður að innihalda blinking_led rökfræðina. Til að velja staðsetningarsvæðið með því að finna hnútinn í Chip Planner, hægrismelltu á u_blinking_led svæðisheitið í Logic Lock Regions glugganum og smelltu síðan á Finndu hnút ➤ Finndu í Chip Planner.
- Undir Skiptingaskýrslur, tvísmelltu á Report Design Partitions. Chip Planner hápunktur og litakóðar svæðið.
Mynd 7. Staðsetning Chip Planner Node fyrir blinking_led
Að öðrum kosti, að bæta eftirfarandi línum við blinking_led.qsf skapar þessi svæði:
set_instance_assignment -nafn DEILING pr_partition -to \ u_blinking_led -eining efst
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -nafn SKIPING supr_partition -to u_top_counter \ -eining efst
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -nafn PLACE_REGION "X166 Y199 X170 Y203" -til \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -nafn ROUTE_REGION "X165 Y198 X171 Y204" -til \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -nafn PLACE_REGION "X173 Y199 X177 Y203" -to \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -nafn ROUTE_REGION "X172 Y198 X178 Y204" -to \ u_top_counter
set_instance_assignment -nafn RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. Skref 4: Skilgreindu persónur
Þessi viðmiðunarhönnun skilgreinir þrjár aðskildar persónur fyrir staka PR skiptinguna og eina SUPR persónu fyrir SUPR svæðið. Fylgdu þessum skrefum til að skilgreina og hafa þessar persónur með í verkefninu þínu. Ef þú notar Intel Quartus Prime Text Editor skaltu slökkva á Add file
til núverandi verkefnis þegar vistun er files.
- Búðu til nýjan blinking_led_slow.sv, blinking_led_empty.sv og top_counter_fast.sv SystemVerilog files í vinnuskránni þinni. Staðfestu að blinking_led.sv sé þegar til staðar í vinnuskránni.
- Sláðu inn eftirfarandi innihald fyrir SystemVerilog files:
Tafla 2. Reference Design Personas SystemVerilog
File Nafn Lýsing Kóði blinking_led_slow. sv LED blikka hægar tímakvarði 1 ps / 1 ps 'default_nettype enginn
mát blinking_led_slow // klukka
inntaksvírklukka, endurstilla inntaksvír, inntaksvír [31:01 teljari,
// Stýrimerki fyrir úttaksvír ljósdíóða led_two_on,
úttaksvír led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; fótur leiddi_þrjú_á_r; úthluta led_two_on = led_two_on_r; úthluta led_three_on = led_three_on_r; alltaf_ff @(posedge klukka) byrja led_two_on_r <= teljari[COUNTER_TAP]; led_three_on_r <= teljari[COUNTER_TAP]; enda endamodulblikkandi_ljós_tómt. sv Ljósdíóða er áfram Kveikt tímakvarði 1 ps / 1 ps 'default_nettype engin eining blinking_led_empty( // klukka inntaksvír, endurstilla inntaksvír, inntaksvír [31:01 teljari, // Stýrimerki fyrir LEC- útgangsvír led_two_on, úttaksvír led_three_on áfram… File Nafn Lýsing Kóði // LED er virk low assign led_two_on = l'IDO; úthluta led_three_on = 11b0; endaeining top_counter_fast.sv Annað SUPR 'tímakvarði 1 ps / 1 ps persónu Thdefault_nettype engin eining top_counter_fast // Stýrimerki fyrir LED útgangsvír led_one_on, úttaksvír [31:0] talning, // klukka inntaksvír ) ; localparam COUNTER TAP = 23; reg [31:0] telja_d; úthluta fjölda = count_d; úthluta led_one_on = ount_d[COUNTER_TAP]; alltaf_ff @(posedge klukka) byrja count_d <= count_d + 2; enda .: mát - Smelltu File ➤ Vista sem og vista .sv files í núverandi verkefnaskrá.
1.5.5. Skref 5: Búðu til endurskoðun
PR hönnunarflæðið notar endurskoðunaraðgerðina í Intel Quartus Prime hugbúnaðinum. Upphafleg hönnun þín er grunnútgáfan, þar sem þú skilgreinir mörk kyrrstæðra svæðis og endurstillanleg svæði á FPGA. Frá grunnútgáfunni býrðu til viðbótarútfærslur. Þessar endurskoðanir innihalda mismunandi útfærslur fyrir PR svæðin. Hins vegar nota allar útfærslur á PR innleiðingu sömu staðsetningar- og leiðarniðurstöður á efstu stigi frá grunnendurskoðuninni. Til að setja saman PR hönnun, býrð þú til PR útfærslu endurskoðun fyrir hverja persónu. Að auki verður þú að úthluta annað hvort endurstillingu að hluta – grunn eða hluta endurstillingu – persónuútfærslu endurskoðunargerð fyrir hverja endurskoðun. Eftirfarandi tafla sýnir endurskoðunarheiti og endurskoðunartegund fyrir hverja endurskoðun. Impl_blinking_led_supr_new.qsf endurskoðunin er SUPR persónuútfærsla.
Tafla 3. Endurskoðunarheiti og gerðir
Nafn endurskoðunar | Tegund endurskoðunar |
blikkandi_leiddi | Endurstilling að hluta - Grunnur |
blinking_led_default | Endurstilling að hluta - Persónuútfærsla |
blinking_led_slow | Endurstilling að hluta - Persónuútfærsla |
blikkandi_ljós_tómt | Endurstilling að hluta - Persónuútfærsla |
impl_blinking_led_supr_new | Endurstilling að hluta - Persónuútfærsla |
1.5.5.1. Stilling grunnendurskoðunar
Fylgdu þessum skrefum til að stilla blinking_led sem grunnútgáfu:
- Smelltu á Verkefni ➤ Endurskoðun.
- Fyrir Revision Type, veldu Partial Reconfiguration – Base.
Þetta skref bætir eftirfarandi við blinking_led.qsf:
##blinking_led.qsf set_global_assignment -heiti REVISION_TYPE PR_BASE
1.5.5.2. Að búa til innleiðingarendurskoðanir
Fylgdu þessum skrefum til að búa til útfærslubreytingarnar:
- Í endurskoðunarglugganum skaltu tvísmella á < >.
- Í Revision name, tilgreindu blinking_led_default og veldu blinking_led fyrir Byggt á endurskoðun.
- Fyrir endurskoðunargerðina, veldu Endurstilling að hluta – Persónuútfærsla.
- Slökktu á Stilla sem núverandi endurskoðun valkostinn.
- Endurtaktu skref 2 til 5 til að stilla endurskoðunargerðina fyrir aðrar útfærslur:
Nafn endurskoðunar | Tegund endurskoðunar | Byggt á Revision |
blinking_led_slow | Endurstilling að hluta - Persónuútfærsla | blikkandi_leiddi |
blikkandi_ljós_tómt | Endurstilling að hluta - Persónuútfærsla | blikkandi_leiddi |
impl_blinking_led_supr_new | Endurstilling að hluta - Persónuútfærsla | blikkandi_leiddi |
Mynd 8. Búa til framkvæmdabreytingar
Hvert .qsf file inniheldur nú eftirfarandi verkefni:
set_global_assignment -heiti REVISION_TYPE PR_IMPL
set_instance_assignment -nafn ENTITY_REBINDING staðhaldari -to u_top_counter
set_instance_assignment -nafn ENTITY_REBINDING staðhaldari -til u_blinking_led
1.5.6. Skref 6: Settu saman grunnútgáfuna
Fylgdu þessum skrefum til að setja saman grunnendurskoðunina og flytja kyrrstöðu- og SUPR-svæðin til síðari notkunar við innleiðingarendurskoðun fyrir nýja PR-persónu:
- Stilltu blinking_led sem núverandi endurskoðun ef það er ekki þegar stillt.
- Í Hönnunarskilaglugganum, smelltu á (…) við hliðina lengst til hægri og virkjaðu Post Final Export File dálki. Þú getur líka slökkt á eða breytt röð dálka.
- Til að flytja sjálfkrafa út lokamynd af PR-útfærsluhönnunarhlutum eftir hverja samantekt, tilgreindu eftirfarandi fyrir Post Final Export File valkostir fyrir rót og SUPR skiptingarnar. .qdb files útflutningur í verkefnaskrána sjálfgefið.
• root_partition—blikkandi_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
Mynd 9. Sjálfvirk útflutningur í HönnunarskilagluggaAð öðrum kosti flytja eftirfarandi .qsf verkefni út skiptingarnar sjálfkrafa eftir hverja samantekt:
set_instance_assignment -nafn EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | -eining efst
set_instance_assignment -nafn EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -eining efst - Til að setja saman blinking_led grunnútgáfuna, smelltu á Vinnsla ➤ Byrja
Samantekt. Að öðrum kosti geturðu notað eftirfarandi skipun til að setja saman þessa endurskoðun:
quartus_sh –flow compile blinking_led -c blinking_led Eftir vel heppnaða samantekt, eftirfarandi files birtast í verkefnaskránni:
• blikkandi_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. Skref 7: Settu upp endurskoðun á innleiðingu PR
Þú verður að undirbúa útfærslur á PR innleiðingu áður en þú getur búið til PR bitastrauminn fyrir tækjaforritun. Þessi uppsetning felur í sér að bæta við kyrrstæða svæðinu .qdb file sem heimild file fyrir hverja útfærsluendurskoðun. Að auki verður þú að tilgreina
samsvarandi aðili PR svæðisins. Fylgdu þessum skrefum til að setja upp endurskoðanir á PR innleiðingu:
- Til að stilla núverandi endurskoðun, smelltu á Verkefni ➤ Endurskoðun, veldu blinking_led_default sem endurskoðunarheiti og smelltu síðan á Stilla núverandi. Að öðrum kosti geturðu valið núverandi endurskoðun á helstu Intel Quartus Prime tækjastikunni.
- Til að staðfesta réttan uppruna fyrir þessa útfærsluútfærslu, smelltu á Verkefni ➤ Bæta við/fjarlægja Files í Project. Staðfestu að blinking_led.sv file birtist í file lista.
- Til að sannreyna rétta heimild file fyrir útfærsluendurskoðanir, smelltu á Verkefni ➤ Bæta við/fjarlægja files í Project, og bættu við eftirfarandi heimild files fyrir framkvæmd endurskoðun. Ef það er til staðar skaltu fjarlægja blinking_led.sv af lista yfir verkefni files.
Iútfærsla endurskoðunarheiti Heimild File blikkandi_ljós_tómt blinking_led_empty.sv blinking_led_slow blinking_led_slow.sv - Stilltu blinking_led_default sem núverandi endurskoðun.
- Til að tilgreina .qdb file sem uppspretta fyrir root_partition, smelltu á Assignments ➤ Design Partitions Window. Tvísmelltu á skiptingagagnagrunninn File klefi og tilgreindu blinking_led_static.qdb file.
- Á sama hátt skaltu tilgreina blinking_led_supr_partition_final.qdb sem skiptingargagnagrunninn File fyrir supr_skiptingu.
Mynd 10.
Að öðrum kosti skaltu nota eftirfarandi .qsf verkefni til að tilgreina .qdb:
set_instance_assignment -nafn QDB_FILE_SKIPTI \ blinking_led_static.qdb -to |
set_instance_assignment -nafn QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter - Í Hönnunarskilaglugganum, smelltu á (...) við hliðina á dálknum lengst til hægri og virkjaðu Entity Re-binding dálkinn.
-
Í reitnum Entity Re-binding, tilgreindu nýja einingarheitið fyrir PR skiptinguna sem þú ert að breyta í núverandi útfærslu endurskoðun. Fyrir endurskoðun blinking_led_default útfærslu er heiti einingarinnar blinking_led. Í þessu tilviki ertu að skrifa yfir u_blinking_led tilvikið úr grunnútgáfusamsetningunni með nýju einingunni blinking_led. Fyrir aðrar útfærslubreytingar, vísa til eftirfarandi töflu:
Endurskoðun Gildi endurbindingar einingarinnar blinking_led_slow blinking_led_slow blikkandi_ljós_tómt blikkandi_ljós_tómt Mynd 11. Entity Rebinding
Að öðrum kosti geturðu notað eftirfarandi línur í .qsf hverrar útgáfu til að stilla verkefnin:
##blinking_led_default.qsf
set_instance_assignment -nafn ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -nafn ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -nafn ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - Eyddu place_holder textanum úr Entity Re-binding klefanum fyrir supr_partition.
- Til að setja saman hönnunina, smelltu á Vinnsla ➤ Byrjaðu samantekt. Að öðrum kosti skaltu nota eftirfarandi skipun til að setja þetta verkefni saman: quartus_sh –flow compile blinking_led –c blinking_led_default
- Endurtaktu skref 4 til 11 til að undirbúa og setja saman útfærslubreytingarnar blinking_led_slow og blinking_led_empty.
1.5.8. Skref 8: Breyttu SUPR rökfræðinni
Til að breyta virkni rökfræðinnar innan SUPR skiptingarinnar verður þú að breyta uppruna SUPR skiptingarinnar. Ljúktu við eftirfarandi skref til að skipta um u_top_counter tilvikið í SUPR skiptingunni fyrir top_counter_fast eininguna.
- Til að stilla endurskoðun SUPR innleiðingar sem núverandi, smelltu á Verkefni ➤ Endurskoðun og stilltu impl_blinking_led_supr_new sem núverandi endurskoðun, eða veldu
endurskoðun á Intel Quartus Prime aðaltækjastikunni. - Til að sannreyna rétta heimild file fyrir endurskoðun innleiðingar, smelltu á Verkefni ➤
Bæta við/fjarlægja files í Project og staðfestu að top_counter_fast.sv sé uppspretta impl_blinking_led_supr_new útfærslu endurskoðunarinnar. Ef til staðar, fjarlægðu top_counter.sv af lista yfir verkefni files. - Til að tilgreina .qdb file tengt rótarsneiðinni, smelltu á Verkefni ➤ Hönnunarsneiðagluggi og tvísmelltu síðan á skiptingagagnagrunninn File klefi til að tilgreina blinking_led_static.qdb.
Að öðrum kosti skaltu nota eftirfarandi skipun til að úthluta þessu file: set_tilvik_úthlutun -nafn QDB_FILE_SKIPTI \ blinking_led_static.qdb -to | - Í Entity Re-binding cell for pr_partition, tilgreinið viðeigandi heiti einingarinnar. Fyrir þetta frvample, tilgreindu blinking_led_empty eininguna. Í þessu tilviki ertu að skrifa yfir u_blinking_led tilvikið úr grunnútgáfusamsetningunni með nýju einingunni linking_led_empty. Eftirfarandi lína er nú til í .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -nafn ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - Í Entity Re-binding reit fyrir supr_partition, tilgreindu top_counter_fast eininguna. top_counter_fast er nafn kyrrstöðueiningarinnar sem kemur í stað u_top_counter þegar þú klárar SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -nafn ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- Til að setja saman hönnunina, smelltu á Vinnsla ➤ Byrjaðu samantekt. Að öðrum kosti, notaðu eftirfarandi skipun til að setja saman þessa endurskoðun verkefnisins: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new
1.5.9. Skref 9: Forritaðu stjórnina
Fylgdu þessum skrefum til að tengja og forrita Intel Agilex F-Series FPGA þróunarborðið.
- Tengdu aflgjafann við Intel Agilex F-Series FPGA þróunarborðið.
- Tengdu USB-snúru á milli USB-tengis tölvunnar og USB-forritunarbúnaðarins á þróunarborðinu.
- Opnaðu Intel Quartus Prime hugbúnaðinn og smelltu síðan á Verkfæri ➤ Forritari. Vísað til Forritun þróunarráðs.
- Í forritaranum, smelltu á Hardware Setup, og veldu síðan USB-Blaster.
- Smelltu á Auto Detect og veldu síðan AGFB014R24B tækið.
- Smelltu á OK. Intel Quartus Prime hugbúnaðurinn skynjar og uppfærir forritarann með þremur FPGA tækjunum á borðinu.
- Veldu AGFB014R24B tækið, smelltu á Breyta File, og hlaðið inn blinking_led_default.sof file.
- Virkja forrit/stillingu fyrir blinking_led_default.sof file.
- Smelltu á Start og bíddu þar til framvindustikan nær 100%.
- Fylgstu með ljósdíóðunum á borðinu blikka.
- Til að forrita aðeins PR-svæðið skaltu hægrismella á blinking_led_default.sof file í Forritaranum og smelltu á Bæta við PR-forritun File. Veldu blinking_led_slow.pr_partition.rbf file.
- Slökktu á forrita/stillingu fyrir blinking_led_default.sof file.
- Virkjaðu forrita/stillingu fyrir blinking_led_slow.pr_partition.rbf file, og smelltu síðan á Start. Á töflunni skaltu fylgjast með LED[0] og LED[1] halda áfram að blikka. Þegar framvindustikan nær 100% blikka LED[2] og LED[3] hægar.
- Til að endurforrita PR-svæðið skaltu hægrismella á .rbf file í Forritaranum og smelltu síðan á Breyta PR-forritun File.
- Veldu .rbf files fyrir hinar tvær persónurnar að fylgjast með hegðuninni á borðinu. Hleður blinking_led_default.pr_partition.rbf file veldur því að LED-ljósin blikka á upphaflegri tíðni og hleður inn blinking_led_empty.pr_partition.rbf file veldur því að LED-ljósin halda áfram að vera Kveikt. 17. Til að breyta SUPR rökfræði, endurtaktu skref 7 hér að ofan til að velja impl_blinking_led_supr_new.sof. Eftir að hafa breytt þessu file, leiddi [0:1] blikkar nú hraðar en áður. Hin PR .rbf files eru einnig í samræmi við nýja .sof.
Athugið: Samsetningarmaðurinn býr til .rbf file fyrir SUPR-svæðið. Hins vegar ættir þú ekki að nota þetta file að endurforrita FPGA á keyrslutíma vegna þess að SUPR skiptingin sýnir ekki frystibrú, PR svæðisstýringu og aðra rökfræði í heildarkerfinu. Þegar þú gerir breytingar á SUPR skiptingarrökfræðinni verður þú að endurforrita alla .sof file úr samantekt SUPR innleiðingarendurskoðunar.
Mynd 12. Forritun þróunarráðs
1.5.9.1. Úrræðaleit í PR forritunarvillum
Að tryggja rétta uppsetningu á Intel Quartus Prime forritara og tengdum vélbúnaði hjálpar til við að forðast allar villur við PR forritun.
Ef þú stendur frammi fyrir einhverjum PR-forritunarvillum skaltu skoða „Úrræðaleit í PR-forritunarvillum“ í Intel Quartus Prime Pro Edition notendahandbók: Endurstilling að hluta til að fá skref-fyrir-skref ráðleggingar um bilanaleit.
Tengdar upplýsingar
Úrræðaleit í PR forritunarvillum
1.5.10. Breyting á SUPR skiptingunni
Þú getur breytt núverandi SUPR skipting. Eftir að hafa breytt SUPR skiptingunni verður þú að setja hana saman, búa til .sof file, og forrita stjórnina, án þess að setja saman hinar persónurnar. Til dæmisample, fylgdu þessum skrefum til að breyta top_counter_fast.sv einingunni til að telja hraðar:
- Stilltu impl_blinking_led_supr_new sem núverandi útgáfu.
- Í top_counter_fast.sv file, skiptu count_d + 2 setningunni út fyrir count_d + 4.
- Keyrðu eftirfarandi skipanir til að endurgera SUPR blokkina og búa til nýja .sof file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
.sof sem myndast inniheldur nú nýja SUPR svæðið og notar blinking_led fyrir sjálfgefna (kveikja) persónu.
1.6. Endurskoðunarsaga skjalsins á AN 987: Stöðug uppfærsla að hluta endurstillingu kennslu endurskoðunarsaga
Skjalaútgáfa | Intel Quartus Prime útgáfa | Breytingar |
2022.10.24 | 22. | Upphafleg útgáfa skjalsins. |
Uppfært fyrir Intel® Quartus®Prime Design Suite: 22.3
Svör við algengustu spurningum:
Sendu athugasemdir
Q Hvað er kyrrstöðuuppfærsla að hluta endurstillingu
Static Update Partial Reconfiguration á síðu 3
Sp. Hvað þarf ég fyrir þessa kennslu?
Kennslukröfur á síðu 3
Sp. Hvar get ég fengið tilvísunarhönnunina?
Tilvísunarhönnun til að hlaða niður Files á síðu 5
Sp. Hvernig bý ég til SUPR hönnun?
Leiðsögn um hönnun á síðu 6
Sp. Hvað er PR-persóna?
Skilgreindu persónur á síðu 10
Sp. Hvernig breyti ég SUPR rökfræði? A Breyta SUPR rökfræðinni á síðu 16
A Breyta SUPR rökfræðinni á síðu 16
Sp. Hvernig forrita ég töfluna?
A Dagskrá stjórnina á síðu 18
Sp. Hver eru þekkt vandamál og takmarkanir á PR?
A Intel FPGA Support Forums: PR
Netútgáfa
Sendu athugasemdir
ID: 749443
AN-987
Útgáfa: 2022.10.24
Skjöl / auðlindir
![]() |
Intel Agilex F-Series FPGA þróunarráð [pdfNotendahandbók Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board |