Intel lógóeCPRI Intel® FPGA IP hönnun
Example Notendahandbók
Uppfært fyrir Intel®
Quartus®
Prime Design Suite: 23.1
IP útgáfa: 2.0.3

Flýtileiðarvísir

Endurbætt Common Public Radio Interface (eCPRI) Intel® FPGA IP kjarna útfærir eCPRI forskriftina útgáfu 2.0. eCPRI Intel FPGA IP veitir uppgerð prófunarbekk og vélbúnaðarhönnun tdample sem styður samantekt og vélbúnaðarprófanir. Þegar þú býrð til hönnunina tdample, breytu ritstjórinn býr sjálfkrafa til fileer nauðsynlegt til að líkja eftir, setja saman og prófa hönnunina tdample í vélbúnaði.
Samsett vélbúnaðarhönnun tdample keyrir á:

  • Intel Agilex™ 7 I-Series FPGA þróunarsett
  • Intel Agilex 7 I-Series Transceiver-SoC þróunarsett
  • Intel Agilex 7 F-Series Transceiver-SoC þróunarsett
  • Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit fyrir H-flísarhönnun tdamples
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit fyrir E-flísarhönnun tdamples
  • Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

Intel býður upp á tdampLe verkefni sem þú getur notað til að áætla fljótt IP kjarnasvæði og tímasetningu.
Prófbekkurinn og hönnun tdample styður 25G og 10G gagnahraða fyrir Intel Stratix 10 H-flísar eða E-flísar og Intel Agilex 7 E-flísar eða F-flísar tæki afbrigði af eCPRI IP.

Athugið: eCPRI IP hönnunin tdample with interworking function (IWF) er aðeins fáanlegt fyrir 9.8 Gbps CPRI línubitahraða í núverandi útgáfu.
Athugið: eCPRI IP hönnunin tdample styður ekki kraftmikla endurstillingu fyrir 10G gagnahraða í Intel Arria 10 hönnun.

eCPRI Intel FPGA IP kjarnahönnunin tdample styður eftirfarandi eiginleika:

  • Innri TX til RX serial loopback ham
  • Umferðarrafall og afgreiðslumaður
  • Grunngeta til að athuga pakka
  • Geta til að nota System Console til að keyra hönnunina og endurstilla hönnunina í endurprófunartilgangi

Intel Corporation. Allur réttur áskilinn. Intel, Intel lógóið og önnur Intel merki eru vörumerki Intel Corporation eða dótturfélaga þess. Intel ábyrgist frammistöðu FPGA- og hálfleiðaravara sinna samkvæmt gildandi forskriftum í samræmi við staðlaða ábyrgð Intel, en áskilur sér rétt til að gera breytingar á hvaða vörum og þjónustu sem er hvenær sem er án fyrirvara. Intel tekur enga ábyrgð eða skaðabótaábyrgð sem stafar af notkun eða notkun á neinum upplýsingum, vöru eða þjónustu sem lýst er hér nema sérstaklega hafi verið samið skriflega af Intel. Viðskiptavinum Intel er bent á að fá nýjustu útgáfuna af tækjaforskriftum áður en þeir treysta á birtar upplýsingar og áður en pantað er fyrir vörur eða þjónustu. *Önnur nöfn og vörumerki geta verið eign annarra.

ISO 9001:2015 Skráð

Mynd 1. Þróunarskref fyrir hönnunina ExampleeCPRI Intel FPGA IP hönnun - mynd 1

Tengdar upplýsingar

  • eCPRI Intel FPGA IP notendahandbók
  • eCPRI Intel FPGA IP útgáfuskýringar

1.1. Kröfur um vélbúnað og hugbúnað
Til að prófa fyrrverandiampvið hönnun, notaðu eftirfarandi vélbúnað og hugbúnað:

  • Intel Quartus® Prime Pro Edition hugbúnaðarútgáfa 23.1
  • Kerfisborð
  • Stuðir hermir:
    — Siemens* EDA QuestaSim*
    — Synopsys* VCS*
    — Synopsys VCS MX
    — Aldec* Riviera-PRO*
    — Cadence* Xcelium*
  • Þróunarsett:
    — Intel Agilex 7 I-Series FPGA þróunarsett
    — Intel Agilex 7 I-Series Transceiver-SoC þróunarsett
    — Intel Agilex 7 F-Series Transceiver-SoC þróunarsett
    — Intel Stratix 10 GX Transceiver Signal Integrity Development Kit fyrir H-flísar afbrigði hönnunar td.ample
    — Intel Stratix 10 TX Sendimóttakari merkjaheilleikaþróun fyrir E-flísar tækjabreytingahönnun td.ample
    — Intel Arria 10 GX Transceiver Signal Integrity Development Kit

Tengdar upplýsingar

  • Intel Agilex 7 I-Series FPGA þróunarsett notendahandbók
  • Intel Agilex 7 I-Series Transceiver-SoC þróunarsett notendahandbók
  • Intel Agilex 7 F-Series Transceiver-SoC þróunarsett notendahandbók
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Notendahandbók
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Notendahandbók
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Notendahandbók

1.2. Að búa til hönnunina
Forsenda: Þegar þú hefur fengið eCPRI web-kjarna IP, vistaðu web-kjarna uppsetningarforrit til staðarins. Keyrðu uppsetningarforritið með Windows/Linux. Þegar beðið er um það skaltu setja upp webkjarna á sama stað og Intel Quartus Prime möppan.
eCPRI Intel FPGA IP birtist nú í IP vörulistanum.
Ef þú ert ekki nú þegar með Intel Quartus Prime Pro Edition verkefni til að samþætta eCPRI Intel FPGA IP kjarnann þinn, verður þú að búa til eitt.

  1. Í Intel Quartus Prime Pro Edition hugbúnaðinum, smelltu á File ➤ New Project Wizard til að búa til nýtt Intel Quartus Prime verkefni, eða smelltu File ➤ Opna verkefni til að opna núverandi Intel Quartus Prime verkefni. Töframaðurinn biður þig um að tilgreina tæki.
  2. Tilgreindu tækjafjölskylduna og tæki sem uppfyllir kröfur um hraðastig.
  3. Smelltu á Ljúka.
  4. Finndu og tvísmelltu á eCPRI Intel FPGA IP í IP vörulistanum. Nýtt IP afbrigði glugginn birtist.

Fylgdu þessum skrefum til að búa til eCPRI IP vélbúnaðarhönnun tdample og prufubekkur:

  1. Finndu og tvísmelltu á eCPRI Intel FPGA IP í IP vörulistanum. Nýtt IP afbrigði glugginn birtist.
  2. Smelltu á OK. Færibreytirtillinn birtist.
    Mynd 2. ExampLe Design Tab í eCPRI Intel FPGA IP Parameter EditoreCPRI Intel FPGA IP hönnun - mynd 2
  3. Tilgreindu nafn á efstu stigi fyrir sérsniðið IP afbrigði þitt. Færibreytirtillinn vistar IP afbrigðisstillingarnar í a file nefndur .ip.
  4. Smelltu á OK. Færibreytirtillinn birtist.
  5. Á Almennt flipanum, tilgreindu færibreytur fyrir IP kjarnaafbrigðið þitt.
    Athugið: • Þú verður að kveikja á straumbreytu í eCPRI IP færibreyturitlinum þegar þú býrð til hönnunina tdample með Interworking Function (IWF) stuðningsfæribreytu virkt,
    • Þú verður að stilla CPRI Line Bit Rate (Gbit/s) á Others þegar þú býrð til hönnunina tdample með Interworking Function (IWF) Stuðningsfæribreytu virkt.
  6. Á fyrrvample Hönnunarflipi, veldu uppgerðarmöguleikann til að búa til prófunarbekkinn, veldu nýmyndunarmöguleikann til að búa til vélbúnaðinn tdample hönnun, og veldu nýmyndun og uppgerð til að búa til bæði prófunarbekkinn og vélbúnaðarhönnunina tdample.
  7. Fyrir Tungumál fyrir uppgerð á efstu stigi file, veldu Verilog eða VHDL.
    Athugið: Þessi valkostur er aðeins í boði þegar þú velur Simulation valkostur fyrir fyrrverandi þinnamphönnun.
  8. Fyrir Tungumál fyrir myndun á efstu stigi file, veldu Verilog eða VHDL.
    Athugið: Þessi valkostur er aðeins í boði þegar þú velur Synthesis valkost fyrir fyrrverandi þinnamphönnun.
  9. Fyrir Fjöldi rása geturðu slegið inn fjölda rása (1 til 4) sem ætlaðar eru fyrir hönnunina þína. Sjálfgefið gildi er 1.
  10. Smelltu á Búa til tdample Hönnun. Valið ExampLe Design Directory gluggi birtist.
  11. Ef þú vilt breyta hönnuninni tdample skráarslóð eða nafn frá sjálfgefnum stillingum sem sýndar eru (ecpri_0_testbench), flettu að nýju slóðinni og sláðu inn nýju hönnunina exampnafn möppu.
  12. Smelltu á OK.

Tengdar upplýsingar
eCPRI Intel FPGA IP notendahandbók
1.3. Uppbygging skráa
eCPRI IP kjarnahönnunin tdample file möppur innihalda eftirfarandi myndað files fyrir hönnun example.

Mynd 3. Skráaruppbygging myndaðs Example HönnuneCPRI Intel FPGA IP hönnun - mynd 3

Athugið:

  1. Aðeins til staðar í Intel Arria 10 IP hönnun tdample afbrigði.
  2. Aðeins til staðar í Intel Stratix 10 (H-flísar eða E-flísar) IP hönnun tdample afbrigði.
  3. Aðeins til staðar í Intel Agilex E-tile IP hönnun tdample afbrigði.

Tafla 1. eCPRI Intel FPGA IP Core Testbekkur File Lýsingar

File Nöfn  Lýsing
Key Testbekkur og uppgerð Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv Prófbekkur á hæsta stigi file. Prófbekkurinn sýnir DUT umbúðirnar og keyrir Verilog HDL verkefni til að búa til og taka við pakka.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT umbúðir sem sýna DUT og aðra prófunarbekk íhluti.
<design_example_dir>/simulation/ed_fw/flow.c C-kóða uppspretta file.
Testbench Scripts
<design_example_dir>/simulering/setup_scripts/mentor/run_vsim.do Siemens EDA QuestaSim handritið til að keyra prófunarbekkinn.
<design_example_dir>/simulering/setup_scripts/synopsys/vcs/run_vcs.sh Synopsys VCS handritið til að keyra prófunarbekkinn.
<design_example_dir>/simulering/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX handritið (samsett Verilog HDL og
SystemVerilog með VHDL) til að keyra prófunarbekkinn.
<design_example_dir>/simulering/setup_scripts/aldec/run_rivierapro.tcl Aldec* Riviera-PRO handritið til að keyra prófunarbekkinn.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh Cadence* Xcelium handritið til að keyra prófunarbekkinn.

Tafla 2. eCPRI Intel FPGA IP Core Vélbúnaðarhönnun Example File Lýsingar

File Nöfn Lýsingar
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Intel Quartus Prime verkefni file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Intel Quartus Prime verkefnastilling file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys hönnunartakmarkanir files. Þú getur afritað og breytt þessu files fyrir þína eigin Intel Stratix 10 hönnun.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Verilog HDL hönnun á hæsta stigi tdample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT umbúðir sem sýna DUT og aðra prófunarbekk íhluti.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Aðal file til að fá aðgang að System Console (Fáanlegt í Intel Stratix 10 H-flísum og E-flísarhönnun).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Aðal file til að fá aðgang að System Console (Fáanlegt í Intel Arria 10 hönnun).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Aðal file til að fá aðgang að System Console (fáanlegt í Intel Agilex 7 hönnun).

1.4. Hermir eftir hönnun Exampprófbekkur
Mynd 4. VerklageCPRI Intel FPGA IP hönnun - mynd 4

Fylgdu þessum skrefum til að líkja eftir prófunarbekknum:

  1. Við skipanalínuna skaltu breyta í prófunarbekksuppgerðinaample_dir>/simulation/setup_scripts.
  2. Fyrir Intel Agilex F-flísar tæki, fylgdu þessum skrefum:
    a. Farðu íample_dir>/simulation/quartus möppu og keyrðu þessar tvær skipanir hér að neðan: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Að öðrum kosti geturðu opnað ecpri_ed.qpf verkefnið í Intel Quartus Prime Pro Edition og framkvæmt samantektina þar til Support Logic Generation stage.
    b. Farðu íample_dir>/simulation/setup_scripts skrá.
    c. Keyrðu eftirfarandi skipun: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf
  3. Keyrðu hermiforritið fyrir studda herminn að eigin vali. Handritið safnar saman og keyrir prófbekkinn í herminum. Sjá töfluna Skref til að líkja eftir prófunarbekknum.
    Athugið: VHDL tungumálastuðningur fyrir uppgerð er aðeins fáanlegur með QuestaSim og VCS MX hermum. Verilog tungumálastuðningur fyrir uppgerð er fáanlegur fyrir alla herma sem taldir eru upp í töflu: Skref til að líkja eftir prófunarbekknum.
  4. Greindu niðurstöðurnar. Hinn árangursríki prófunarbekkur sendir og tekur á móti pakka og sýnir „PASSED“.

Tafla 3. Skref til að líkja eftir prófunarbekknum

Hermir Leiðbeiningar
QuestaSim Í skipanalínunni skaltu slá inn vsim -do run_vsim.do Ef þú vilt líkja án þess að koma upp QuestaSim GUI, sláðu inn vsim -c -do run_vsim.do
VCS • Í skipanalínunni, sláðu inn sh run_vcs.sh
• Farðu íample_dir>/simulation/setup_scripts/ synopsys/vcs og keyrðu eftirfarandi skipun: sh run_vcs.sh
VCS MX Í skipanalínunni skaltu slá inn sh run_vcsmx.sh
Riviera-PRO Í skipanalínunni skaltu slá inn vsim -c -do run_rivierapro.tcl
Athugið: Aðeins stutt í Intel Stratix 10 H-flísahönnunarafbrigðum.
Xcelium(1) Í skipanalínunni skaltu slá inn sh run_xcelium.sh
  1. Þessi hermir er ekki studdur fyrir eCPRI Intel FPGA IP hönnun tdample myndaður með IWF eiginleika virkt.

Sample Output: Eftirfarandi sampLe framleiðsla sýnir vel heppnaða uppgerð prufukeyrslu á eCPRI IP hönnun tdample án IWF eiginleiki virkjaður með Fjöldi rása = 4:

# Bíð eftir RX röðun
# RX deskew læst
# RX akreinarstilling læst
# Beðið eftir að hlekkjavillu sé hreinsuð
# Tengilvilla hreinsuð
# MAC Heimildisfang 0_0 Rás 0: 33445566
# MAC Heimildisfang 0_1 Rás 0: 00007788
# MAC áfangastaður 0_0 Rás 0: 33445566
# MAC áfangastaður 0_1 Rás 0: 00007788
# MAC áfangastaður 1_0 Rás 0: 11223344
# MAC áfangastaður 1_1 Rás 0: 00005566
# MAC áfangastaður 2_0 Rás 0: 22334455
# MAC áfangastaður 2_1 Rás 0: 00006677
# MAC áfangastaður 3_0 Rás 0: 44556677
# MAC áfangastaður 3_1 Rás 0: 00008899
# MAC áfangastaður 4_0 Rás 0: 66778899
# MAC áfangastaður 4_1 Rás 0: 0000aabb
# MAC áfangastaður 5_0 Rás 0: 778899aa
# MAC áfangastaður 5_1 Rás 0: 0000bbcc
# MAC áfangastaður 6_0 Rás 0: 8899aabb
# MAC áfangastaður 6_1 Rás 0: 0000ccdd
# MAC áfangastaður 7_0 Rás 0: 99aabbcc
# MAC áfangastaður 7_1 Rás 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# Virkja truflun eCPRI Common Control Channel 0: 00000241
# eCPRI útgáfa Rás 0: 2
# MAC Heimildisfang 0_0 Rás 1: 33445566
# MAC Heimildisfang 0_1 Rás 1: 00007788
# MAC áfangastaður 0_0 Rás 1: 33445566
# MAC áfangastaður 0_1 Rás 1: 00007788
# MAC áfangastaður 1_0 Rás 1: 11223344
# MAC áfangastaður 1_1 Rás 1: 00005566
# MAC áfangastaður 2_0 Rás 1: 22334455
# MAC áfangastaður 2_1 Rás 1: 00006677
# MAC áfangastaður 3_0 Rás 1: 44556677
# MAC áfangastaður 3_1 Rás 1: 00008899
# MAC áfangastaður 4_0 Rás 1: 66778899
# MAC áfangastaður 4_1 Rás 1: 0000aabb
# MAC áfangastaður 5_0 Rás 1: 778899aa
# MAC áfangastaður 5_1 Rás 1: 0000bbcc
# MAC áfangastaður 6_0 Rás 1: 8899aabb
# MAC áfangastaður 6_1 Rás 1: 0000ccdd
# MAC áfangastaður 7_0 Rás 1: 99aabbcc
# MAC áfangastaður 7_1 Rás 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# Virkja truflun eCPRI Common Control Channel 1: 00000241
# eCPRI útgáfa Rás 1: 2
# MAC Heimildisfang 0_0 Rás 2: 33445566
# MAC Heimildisfang 0_1 Rás 2: 00007788
# MAC áfangastaður 0_0 Rás 2: 33445566
# MAC áfangastaður 0_1 Rás 2: 00007788
# MAC áfangastaður 1_0 Rás 2: 11223344
# MAC áfangastaður 1_1 Rás 2: 00005566
# MAC áfangastaður 2_0 Rás 2: 22334455
# MAC áfangastaður 2_1 Rás 2: 00006677
# MAC áfangastaður 3_0 Rás 2: 44556677
# MAC áfangastaður 3_1 Rás 2: 00008899
# MAC áfangastaður 4_0 Rás 2: 66778899
# MAC áfangastaður 4_1 Rás 2: 0000aabb
# MAC áfangastaður 5_0 Rás 2: 778899aa
# MAC áfangastaður 5_1 Rás 2: 0000bbcc
# MAC áfangastaður 6_0 Rás 2: 8899aabb
# MAC áfangastaður 6_1 Rás 2: 0000ccdd
# MAC áfangastaður 7_0 Rás 2: 99aabbcc
# MAC áfangastaður 7_1 Rás 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# Virkja truflun eCPRI Common Control Channel 2: 00000241
# eCPRI útgáfa Rás 2: 2
# MAC Heimildisfang 0_0 Rás 3: 33445566
# MAC Heimildisfang 0_1 Rás 3: 00007788
# MAC áfangastaður 0_0 Rás 3: 33445566
# MAC áfangastaður 0_1 Rás 3: 00007788
# MAC áfangastaður 1_0 Rás 3: 11223344
# MAC áfangastaður 1_1 Rás 3: 00005566
# MAC áfangastaður 2_0 Rás 3: 22334455
# MAC áfangastaður 2_1 Rás 3: 00006677
# MAC áfangastaður 3_0 Rás 3: 44556677
# MAC áfangastaður 3_1 Rás 3: 00008899
# MAC áfangastaður 4_0 Rás 3: 66778899
# MAC áfangastaður 4_1 Rás 3: 0000aabb
# MAC áfangastaður 5_0 Rás 3: 778899aa
# MAC áfangastaður 5_1 Rás 3: 0000bbcc
# MAC áfangastaður 6_0 Rás 3: 8899aabb
# MAC áfangastaður 6_1 Rás 3: 0000ccdd
# MAC áfangastaður 7_0 Rás 3: 99aabbcc
# MAC áfangastaður 7_1 Rás 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# Virkja truflun eCPRI Common Control Channel 3: 00000241
# eCPRI útgáfa Rás 3: 2
# __________________________________________________________
# UPPLÝSINGAR: Upp úr endurstillingarstöðu
# __________________________________________________________
#
#
# Rás 0 eCPRI TX SOPs telja: 0
# Rás 0 eCPRI TX EOP telja: 0
# Rás 0 eCPRI RX SOPs telja: 0
# Rás 0 eCPRI RX EOP telja: 0
# Rás 0 Ytri PTP TX SOPs telja: 0
# Rás 0 Ytri PTP TX EOP telja: 0
# Rás 0 Ytri MISC TX SOPs telja: 0
# Rás 0 Ytri MISC TX EOP telja: 0
# Rás 0 Ytri RX SOPs telja: 0
# Rás 0 Ytri RX EOP telja: 0
# Rás 1 eCPRI TX SOPs telja: 0
# Rás 1 eCPRI TX EOP telja: 0
# Rás 1 eCPRI RX SOPs telja: 0
# Rás 1 eCPRI RX EOP telja: 0
# Rás 1 Ytri PTP TX SOPs telja: 0
# Rás 1 Ytri PTP TX EOP telja: 0
# Rás 1 Ytri MISC TX SOPs telja: 0
# Rás 1 Ytri MISC TX EOP telja: 0
# Rás 1 Ytri RX SOPs telja: 0
# Rás 1 Ytri RX EOP telja: 0
# Rás 2 eCPRI TX SOPs telja: 0
# Rás 2 eCPRI TX EOP telja: 0
# Rás 2 eCPRI RX SOPs telja: 0
# Rás 2 eCPRI RX EOP telja: 0
# Rás 2 Ytri PTP TX SOPs telja: 0
# Rás 2 Ytri PTP TX EOP telja: 0
# Rás 2 Ytri MISC TX SOPs telja: 0
# Rás 2 Ytri MISC TX EOP telja: 0
# Rás 2 Ytri RX SOPs telja: 0
# Rás 2 Ytri RX EOP telja: 0
# Rás 3 eCPRI TX SOPs telja: 0
# Rás 3 eCPRI TX EOP telja: 0
# Rás 3 eCPRI RX SOPs telja: 0
# Rás 3 eCPRI RX EOP telja: 0
# Rás 3 Ytri PTP TX SOPs telja: 0
# Rás 3 Ytri PTP TX EOP telja: 0
# Rás 3 Ytri MISC TX SOPs telja: 0
# Rás 3 Ytri MISC TX EOP telja: 0
# Rás 3 Ytri RX SOPs telja: 0
# Rás 3 Ytri RX EOP telja: 0
# __________________________________________________________
# UPPLÝSINGAR: Byrjaðu að senda pakka
# __________________________________________________________
#
#
# UPPLÝSINGAR: Beðið eftir að rás 0 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 0 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 0 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 0 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 0 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 0 eCPRI External TX Misc umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir að rás 1 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 1 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 1 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 1 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 1 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 1 eCPRI External TX Misc umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir að rás 2 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 2 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 2 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 2 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 2 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 2 eCPRI External TX Misc umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir að rás 3 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 3 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 3 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 3 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 3 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 3 eCPRI External TX Misc umferðarflutningi lokið
# __________________________________________________________
# UPPLÝSINGAR: Hættu að senda pakka
# __________________________________________________________
#
#
# __________________________________________________________
# UPPLÝSINGAR: Athugar tölfræði pakka
# __________________________________________________________
#
#
# Rás 0 eCPRI SOPs send: 300
# Rás 0 eCPRI EOP send: 300
# Rás 0 eCPRI SOPs móttekin: 300
# Rás 0 eCPRI EOP móttekin: 300
# Channel 0 eCPRI Villa tilkynnt: 0
# Rás 0 Ytri PTP SOP send: 4
# Rás 0 Ytri PTP EOP send: 4
# Rás 0 Ytri MISC SOP send: 128
# Rás 0 Ytri MISC EOP send: 128
# Rás 0 Ytri SOPs móttekin: 132
# Rás 0 Ytri EOP móttekin: 132
# Rás 0 Ytri PTP SOPs móttekin: 4
# Rás 0 Ytri PTP EOP móttekin: 4
# Rás 0 Ytri MISC SOPs móttekin: 128
# Rás 0 Ytri MISC EOP móttekin: 128
# Rás 0 Ytri villa tilkynnt: 0
# Rás 0 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# Rás 1 eCPRI SOPs send: 300
# Rás 1 eCPRI EOP send: 300
# Rás 1 eCPRI SOPs móttekin: 300
# Rás 1 eCPRI EOP móttekin: 300
# Channel 1 eCPRI Villa tilkynnt: 0
# Rás 1 Ytri PTP SOP send: 4
# Rás 1 Ytri PTP EOP send: 4
# Rás 1 Ytri MISC SOP send: 128
# Rás 1 Ytri MISC EOP send: 128
# Rás 1 Ytri SOPs móttekin: 132
# Rás 1 Ytri EOP móttekin: 132
# Rás 1 Ytri PTP SOPs móttekin: 4
# Rás 1 Ytri PTP EOP móttekin: 4
# Rás 1 Ytri MISC SOPs móttekin: 128
# Rás 1 Ytri MISC EOP móttekin: 128
# Rás 1 Ytri villa tilkynnt: 0
# Rás 1 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# Rás 2 eCPRI SOPs send: 300
# Rás 2 eCPRI EOP send: 300
# Rás 2 eCPRI SOPs móttekin: 300
# Rás 2 eCPRI EOP móttekin: 300
# Channel 2 eCPRI Villa tilkynnt: 0
# Rás 2 Ytri PTP SOP send: 4
# Rás 2 Ytri PTP EOP send: 4
# Rás 2 Ytri MISC SOP send: 128
# Rás 2 Ytri MISC EOP send: 128
# Rás 2 Ytri SOPs móttekin: 132
# Rás 2 Ytri EOP móttekin: 132
# Rás 2 Ytri PTP SOPs móttekin: 4
# Rás 2 Ytri PTP EOP móttekin: 4
# Rás 2 Ytri MISC SOPs móttekin: 128
# Rás 2 Ytri MISC EOP móttekin: 128
# Rás 2 Ytri villa tilkynnt: 0
# Rás 2 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# Rás 3 eCPRI SOPs send: 300
# Rás 3 eCPRI EOP send: 300
# Rás 3 eCPRI SOPs móttekin: 300
# Rás 3 eCPRI EOP móttekin: 300
# Channel 3 eCPRI Villa tilkynnt: 0
# Rás 3 Ytri PTP SOP send: 4
# Rás 3 Ytri PTP EOP send: 4
# Rás 3 Ytri MISC SOP send: 128
# Rás 3 Ytri MISC EOP send: 128
# Rás 3 Ytri SOPs móttekin: 132
# Rás 3 Ytri EOP móttekin: 132
# Rás 3 Ytri PTP SOPs móttekin: 4
# Rás 3 Ytri PTP EOP móttekin: 4
# Rás 3 Ytri MISC SOPs móttekin: 128
# Rás 3 Ytri MISC EOP móttekin: 128
# Rás 3 Ytri villa tilkynnt: 0
# Rás 3 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# __________________________________________________________
# UPPLÝSINGAR: Próf STAÐIÐ
#
# __________________________________________________________

Sample Output: Eftirfarandi sampLe framleiðsla sýnir vel heppnaða uppgerð prufukeyrslu á eCPRI IP hönnun tdample með IWF eiginleika virkt með Fjöldi rása = 4:

# Virkja CPRI TX
# CPRI Rás 0 L1_CONFIG: 00000001
# CPRI Rás 0 CPRI_CORE_CM_CONFIG: 00001ed4
# CPRI Rás 1 L1_CONFIG: 00000001
# CPRI Rás 1 CPRI_CORE_CM_CONFIG: 00001ed4
# CPRI Rás 2 L1_CONFIG: 00000001
# CPRI Rás 2 CPRI_CORE_CM_CONFIG: 00001ed4
# CPRI Rás 3 L1_CONFIG: 00000001
# CPRI Rás 3 CPRI_CORE_CM_CONFIG: 00001ed4
# Bíð eftir RX röðun
# RX deskew læst
# RX akreinarstilling læst
# Beðið eftir að hlekkjavillu sé hreinsuð
# Tengilvilla hreinsuð
# MAC Heimildisfang 0_0 Rás 0: 33445566
# MAC Heimildisfang 0_1 Rás 0: 00007788
# MAC áfangastaður 0_0 Rás 0: 33445566
# MAC áfangastaður 0_1 Rás 0: 00007788
# MAC áfangastaður 1_0 Rás 0: 11223344
# MAC áfangastaður 1_1 Rás 0: 00005566
# MAC áfangastaður 2_0 Rás 0: 22334455
# MAC áfangastaður 2_1 Rás 0: 00006677
# MAC áfangastaður 3_0 Rás 0: 44556677
# MAC áfangastaður 3_1 Rás 0: 00008899
# MAC áfangastaður 4_0 Rás 0: 66778899
# MAC áfangastaður 4_1 Rás 0: 0000aabb
# MAC áfangastaður 5_0 Rás 0: 778899aa
# MAC áfangastaður 5_1 Rás 0: 0000bbcc
# MAC áfangastaður 6_0 Rás 0: 8899aabb
# MAC áfangastaður 6_1 Rás 0: 0000ccdd
# MAC áfangastaður 7_0 Rás 0: 99aabbcc
# MAC áfangastaður 7_1 Rás 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# Virkja truflun eCPRI Common Control Channel 0: 00000241
# eCPRI útgáfa Rás 0: 2
# MAC Heimildisfang 0_0 Rás 1: 33445566
# MAC Heimildisfang 0_1 Rás 1: 00007788
# MAC áfangastaður 0_0 Rás 1: 33445566
# MAC áfangastaður 0_1 Rás 1: 00007788
# MAC áfangastaður 1_0 Rás 1: 11223344
# MAC áfangastaður 1_1 Rás 1: 00005566
# MAC áfangastaður 2_0 Rás 1: 22334455
# MAC áfangastaður 2_1 Rás 1: 00006677
# MAC áfangastaður 3_0 Rás 1: 44556677
# MAC áfangastaður 3_1 Rás 1: 00008899
# MAC áfangastaður 4_0 Rás 1: 66778899
# MAC áfangastaður 4_1 Rás 1: 0000aabb
# MAC áfangastaður 5_0 Rás 1: 778899aa
# MAC áfangastaður 5_1 Rás 1: 0000bbcc
# MAC áfangastaður 6_0 Rás 1: 8899aabb
# MAC áfangastaður 6_1 Rás 1: 0000ccdd
# MAC áfangastaður 7_0 Rás 1: 99aabbcc
# MAC áfangastaður 7_1 Rás 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# Virkja truflun eCPRI Common Control Channel 1: 00000241
# eCPRI útgáfa Rás 1: 2
# MAC Heimildisfang 0_0 Rás 2: 33445566
# MAC Heimildisfang 0_1 Rás 2: 00007788
# MAC áfangastaður 0_0 Rás 2: 33445566
# MAC áfangastaður 0_1 Rás 2: 00007788
# MAC áfangastaður 1_0 Rás 2: 11223344
# MAC áfangastaður 1_1 Rás 2: 00005566
# MAC áfangastaður 2_0 Rás 2: 22334455
# MAC áfangastaður 2_1 Rás 2: 00006677
# MAC áfangastaður 3_0 Rás 2: 44556677
# MAC áfangastaður 3_1 Rás 2: 00008899
# MAC áfangastaður 4_0 Rás 2: 66778899
# MAC áfangastaður 4_1 Rás 2: 0000aabb
# MAC áfangastaður 5_0 Rás 2: 778899aa
# MAC áfangastaður 5_1 Rás 2: 0000bbcc
# MAC áfangastaður 6_0 Rás 2: 8899aabb
# MAC áfangastaður 6_1 Rás 2: 0000ccdd
# MAC áfangastaður 7_0 Rás 2: 99aabbcc
# MAC áfangastaður 7_1 Rás 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# Virkja truflun eCPRI Common Control Channel 2: 00000241
# eCPRI útgáfa Rás 2: 2
# MAC Heimildisfang 0_0 Rás 3: 33445566
# MAC Heimildisfang 0_1 Rás 3: 00007788
# MAC áfangastaður 0_0 Rás 3: 33445566
# MAC áfangastaður 0_1 Rás 3: 00007788
# MAC áfangastaður 1_0 Rás 3: 11223344
# MAC áfangastaður 1_1 Rás 3: 00005566
# MAC áfangastaður 2_0 Rás 3: 22334455
# MAC áfangastaður 2_1 Rás 3: 00006677
# MAC áfangastaður 3_0 Rás 3: 44556677
# MAC áfangastaður 3_1 Rás 3: 00008899
# MAC áfangastaður 4_0 Rás 3: 66778899
# MAC áfangastaður 4_1 Rás 3: 0000aabb
# MAC áfangastaður 5_0 Rás 3: 778899aa
# MAC áfangastaður 5_1 Rás 3: 0000bbcc
# MAC áfangastaður 6_0 Rás 3: 8899aabb
# MAC áfangastaður 6_1 Rás 3: 0000ccdd
# MAC áfangastaður 7_0 Rás 3: 99aabbcc
# MAC áfangastaður 7_1 Rás 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# Virkja truflun eCPRI Common Control Channel 3: 00000241
# eCPRI útgáfa Rás 3: 2
# Beðið eftir að CPRI nái HSYNC tengingu ástandi
# CPRI Channel 0 HSYNC ástand náð
# CPRI Channel 1 HSYNC ástand náð
# CPRI Channel 2 HSYNC ástand náð
# CPRI Channel 3 HSYNC ástand náð
# 11100250000 Skrifaðu 1 til nego_bitrate_complete
# 11100650000 Pæling PROT_VER Rás 0
# __________________________________________________________
# 11100850000 Kjörskrá: a0000010
# __________________________________________________________
# 13105050000 Pæling PROT_VER Rás 1
# __________________________________________________________
# 13105250000 Kjörskrá: a0800010
# __________________________________________________________
# 13105950000 Pæling PROT_VER Rás 2
# __________________________________________________________
# 13106150000 Kjörskrá: a1000010
# __________________________________________________________
# 13106850000 Pæling PROT_VER Rás 3
# __________________________________________________________
# 13107050000 Kjörskrá: a1800010
# __________________________________________________________
# 13107750000 Skrifaðu 1 til nego_protol_complete
# 13108150000 Pæling CM_STATUS.rx_fast_cm_ptr_valid Rás 0
# __________________________________________________________
# 13108350000 Kjörskrá: a0000020
# __________________________________________________________
# 14272050000 Pæling CM_STATUS.rx_fast_cm_ptr_valid Rás 1
# __________________________________________________________
# 14272250000 Kjörskrá: a0800020
# __________________________________________________________
# 14272950000 Pæling CM_STATUS.rx_fast_cm_ptr_valid Rás 2
# __________________________________________________________
# 14273150000 Kjörskrá: a1000020
# __________________________________________________________
# 14273850000 Pæling CM_STATUS.rx_fast_cm_ptr_valid Rás 3
# __________________________________________________________
# 14274050000 Kjörskrá: a1800020
# __________________________________________________________
# 14274750000 Skrifaðu 1 til nego_cm_complete
# 14275150000 Skrifaðu 1 til nego_vss_complete
# Beðið eftir CPRI Channel 0 ná HSYNC & ræsingarröð FSM STATE_F
# CPRI Rás 0 HSYNC & ræsingarröð FSM STATE_F náð
# Beðið eftir CPRI Channel 1 ná HSYNC & ræsingarröð FSM STATE_F
# CPRI Rás 1 HSYNC & ræsingarröð FSM STATE_F náð
# Beðið eftir CPRI Channel 2 ná HSYNC & ræsingarröð FSM STATE_F
# CPRI Rás 2 HSYNC & ræsingarröð FSM STATE_F náð
# Beðið eftir CPRI Channel 3 ná HSYNC & ræsingarröð FSM STATE_F
# CPRI Rás 3 HSYNC & ræsingarröð FSM STATE_F náð
# __________________________________________________________
# UPPLÝSINGAR: Upp úr endurstillingarstöðu
# __________________________________________________________
#
#
# Rás 0 eCPRI TX SOPs telja: 0
# Rás 0 eCPRI TX EOP telja: 0
# Rás 0 eCPRI RX SOPs telja: 0
# Rás 0 eCPRI RX EOP telja: 0
# Rás 0 Ytri PTP TX SOPs telja: 0
# Rás 0 Ytri PTP TX EOP telja: 0
# Rás 0 Ytri MISC TX SOPs telja: 0
# Rás 0 Ytri MISC TX EOP telja: 0
# Rás 0 Ytri RX SOPs telja: 0
# Rás 0 Ytri RX EOP telja: 0
# Rás 1 eCPRI TX SOPs telja: 0
# Rás 1 eCPRI TX EOP telja: 0
# Rás 1 eCPRI RX SOPs telja: 0
# Rás 1 eCPRI RX EOP telja: 0
# Rás 1 Ytri PTP TX SOPs telja: 0
# Rás 1 Ytri PTP TX EOP telja: 0
# Rás 1 Ytri MISC TX SOPs telja: 0
# Rás 1 Ytri MISC TX EOP telja: 0
# Rás 1 Ytri RX SOPs telja: 0
# Rás 1 Ytri RX EOP telja: 0
# Rás 2 eCPRI TX SOPs telja: 0
# Rás 2 eCPRI TX EOP telja: 0
# Rás 2 eCPRI RX SOPs telja: 0
# Rás 2 eCPRI RX EOP telja: 0
# Rás 2 Ytri PTP TX SOPs telja: 0
# Rás 2 Ytri PTP TX EOP telja: 0
# Rás 2 Ytri MISC TX SOPs telja: 0
# Rás 2 Ytri MISC TX EOP telja: 0
# Rás 2 Ytri RX SOPs telja: 0
# Rás 2 Ytri RX EOP telja: 0
# Rás 3 eCPRI TX SOPs telja: 0
# Rás 3 eCPRI TX EOP telja: 0
# Rás 3 eCPRI RX SOPs telja: 0
# Rás 3 eCPRI RX EOP telja: 0
# Rás 3 Ytri PTP TX SOPs telja: 0
# Rás 3 Ytri PTP TX EOP telja: 0
# Rás 3 Ytri MISC TX SOPs telja: 0
# Rás 3 Ytri MISC TX EOP telja: 0
# Rás 3 Ytri RX SOPs telja: 0
# Rás 3 Ytri RX EOP telja: 0
# __________________________________________________________
# UPPLÝSINGAR: Byrjaðu að senda pakka
# __________________________________________________________
#
#
# UPPLÝSINGAR: Beðið eftir að rás 0 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 0 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 0 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 0 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 0 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 0 eCPRI External TX Misc umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir að rás 1 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 1 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 1 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 1 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 1 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 1 eCPRI External TX Misc umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir að rás 2 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 2 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 2 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 2 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 2 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 2 eCPRI External TX Misc umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir að rás 3 eCPRI TX umferðarflutningi ljúki
# UPPLÝSINGAR: Rás 3 eCPRI TX umferðarflutningi lokið
# UPPLÝSINGAR: Bíður eftir Rás 3 eCPRI Ytri TX PTP umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 3 eCPRI Ytri TX PTP umferðarflutningi lokið
# UPPLÝSINGAR: Beðið eftir rás 3 eCPRI Ytri TX Ýmis umferðarflutningi til
lokið
# UPPLÝSINGAR: Rás 3 eCPRI External TX Misc umferðarflutningi lokið
# __________________________________________________________
# UPPLÝSINGAR: Hættu að senda pakka
# __________________________________________________________
#
#
# __________________________________________________________
# UPPLÝSINGAR: Athugar tölfræði pakka
# __________________________________________________________
#
#
# Rás 0 eCPRI SOPs send: 50
# Rás 0 eCPRI EOP send: 50
# Rás 0 eCPRI SOPs móttekin: 50
# Rás 0 eCPRI EOP móttekin: 50
# Channel 0 eCPRI Villa tilkynnt: 0
# Rás 0 Ytri PTP SOP send: 4
# Rás 0 Ytri PTP EOP send: 4
# Rás 0 Ytri MISC SOP send: 128
# Rás 0 Ytri MISC EOP send: 128
# Rás 0 Ytri SOPs móttekin: 132
# Rás 0 Ytri EOP móttekin: 132
# Rás 0 Ytri PTP SOPs móttekin: 4
# Rás 0 Ytri PTP EOP móttekin: 4
# Rás 0 Ytri MISC SOPs móttekin: 128
# Rás 0 Ytri MISC EOP móttekin: 128
# Rás 0 Ytri villa tilkynnt: 0
# Rás 0 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# Rás 1 eCPRI SOPs send: 50
# Rás 1 eCPRI EOP send: 50
# Rás 1 eCPRI SOPs móttekin: 50
# Rás 1 eCPRI EOP móttekin: 50
# Channel 1 eCPRI Villa tilkynnt: 0
# Rás 1 Ytri PTP SOP send: 4
# Rás 1 Ytri PTP EOP send: 4
# Rás 1 Ytri MISC SOP send: 128
# Rás 1 Ytri MISC EOP send: 128
# Rás 1 Ytri SOPs móttekin: 132
# Rás 1 Ytri EOP móttekin: 132
# Rás 1 Ytri PTP SOPs móttekin: 4
# Rás 1 Ytri PTP EOP móttekin: 4
# Rás 1 Ytri MISC SOPs móttekin: 128
# Rás 1 Ytri MISC EOP móttekin: 128
# Rás 1 Ytri villa tilkynnt: 0
# Rás 1 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# Rás 2 eCPRI SOPs send: 50
# Rás 2 eCPRI EOP send: 50
# Rás 2 eCPRI SOPs móttekin: 50
# Rás 2 eCPRI EOP móttekin: 50
# Channel 2 eCPRI Villa tilkynnt: 0
# Rás 2 Ytri PTP SOP send: 4
# Rás 2 Ytri PTP EOP send: 4
# Rás 2 Ytri MISC SOP send: 128
# Rás 2 Ytri MISC EOP send: 128
# Rás 2 Ytri SOPs móttekin: 132
# Rás 2 Ytri EOP móttekin: 132
# Rás 2 Ytri PTP SOPs móttekin: 4
# Rás 2 Ytri PTP EOP móttekin: 4
# Rás 2 Ytri MISC SOPs móttekin: 128
# Rás 2 Ytri MISC EOP móttekin: 128
# Rás 2 Ytri villa tilkynnt: 0
# Rás 2 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# Rás 3 eCPRI SOPs send: 50
# Rás 3 eCPRI EOP send: 50
# Rás 3 eCPRI SOPs móttekin: 50
# Rás 3 eCPRI EOP móttekin: 50
# Channel 3 eCPRI Villa tilkynnt: 0
# Rás 3 Ytri PTP SOP send: 4
# Rás 3 Ytri PTP EOP send: 4
# Rás 3 Ytri MISC SOP send: 128
# Rás 3 Ytri MISC EOP send: 128
# Rás 3 Ytri SOPs móttekin: 132
# Rás 3 Ytri EOP móttekin: 132
# Rás 3 Ytri PTP SOPs móttekin: 4
# Rás 3 Ytri PTP EOP móttekin: 4
# Rás 3 Ytri MISC SOPs móttekin: 128
# Rás 3 Ytri MISC EOP móttekin: 128
# Rás 3 Ytri villa tilkynnt: 0
# Rás 3 Ytri tímaritamp Fingrafaravilla tilkynnt: 0
# __________________________________________________________
# UPPLÝSINGAR: Próf STAÐIÐ
#
# __________________________________________________________

1.4.1. Virkjar Dynamic Reconfiguration á Ethernet IP
Sjálfgefið er að breytileg endurstilling er óvirk í eCPRI IP hönnun tdample og það á aðeins við um Intel Stratix 10 (E-flísar og H-flísar) og Intel Agilex 7 (E-flísar) hönnun fyrrverandiamples.

  1. Leitaðu að eftirfarandi línu í test_wrapper.sv frá mynduðuample_dir>/simulation/testbench skrá: færibreyta ETHERNET_DR_EN = 0
  2. Breyttu gildinu úr 0 í 1: færibreytan ETHERNET_DR_EN = 1
  3. Keyrðu uppgerðina aftur með því að nota sama myndaða tdample hönnunarskrá.

1.5. Að setja saman verkefnið sem eingöngu er safnað saman
Til að setja saman frvampí verkefninu skaltu fylgja þessum skrefum:

  1. Tryggja safnhönnun tdampkynslóðinni er lokið.
  2. Í Intel Quartus Prime Pro Edition hugbúnaðinum, opnaðu Intel Quartus Prime Pro Edition verkefniðample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Í valmyndinni Vinnsla, smelltu á Start Compilation.
  4. Eftir vel heppnaða samantekt eru skýrslur um tímasetningu og um nýtingu auðlinda fáanlegar í Intel Quartus Prime Pro Edition setu þinni. Farðu í Vinnsla ➤ Safnskýrsla til view ítarlega skýrslu um samantekt.
    Tengdar upplýsingar
    Hönnunarflæði sem byggjast á blokkum

1.6. Að setja saman og stilla hönnun Example í Vélbúnaði
Til að setja saman vélbúnaðarhönnunina tdample og stilltu það á Intel tækinu þínu, fylgdu þessum skrefum:

  1. Tryggja vélbúnaðarhönnun tdampkynslóðinni er lokið.
  2. Í Intel Quartus Prime Pro Edition hugbúnaðinum, opnaðu Intel Quartus Prime verkefniðample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Í valmyndinni Vinnsla, smelltu á Start Compilation.
  4. Eftir vel heppnaða samantekt hefur .sof file er til íample_dir>/ synthesis/quartus/output_files skrá. Fylgdu þessum skrefum til að forrita vélbúnaðarhönnunina tdample á Intel FPGA tækinu:
    a. Tengdu þróunarbúnaðinn við hýsingartölvuna.
    b. Ræstu Clock Control forritið, sem er hluti af þróunarbúnaðinum, og stilltu nýju tíðnirnar fyrir hönnunina fyrrverandiample. Hér að neðan er tíðnistillingin í Clock Control forritinu:
    • Ef þú ert að miða hönnun þína á Intel Stratix 10 GX SI þróunarsett:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 og OUT5- 307.2 MHz
    • Ef þú ert að miða hönnun þína á Intel Stratix 10 TX SI þróunarsett:
    — U1, CLK4- 322.265625 MHz (fyrir 25G gagnahraða)
    — U6- 156.25 MHz (fyrir 10G gagnahraða)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • Ef þú ert að miða hönnun þína á Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Ef þú ert að miða hönnun þína á Intel Arria 10 GX SI þróunarsett:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c. Í Verkfæri valmyndinni, smelltu á Forritari.
    d. Í Forritaranum, smelltu á Vélbúnaðaruppsetning.
    e. Veldu forritunartæki.
    f. Veldu og bættu við þróunarbúnaðinum sem Intel Quartus Prime Pro Edition sessan þín getur tengst við.
    g. Gakktu úr skugga um að Mode sé stillt á JTAG.
    h. Veldu tækið og smelltu á Bæta við tæki. Forritarinn birtir blokkarmynd af tengingum milli tækjanna á borðinu þínu.
    i. Hlaðið .sof file í viðkomandi Intel FPGA tæki.
    j. Hladdu keyrslu- og tengisniðinu (.elf) file í Intel Stratix 10 eða
    Intel Agilex 7 tæki ef þú ætlar að framkvæma kraftmikla endurstillingu (DR) til að skipta gagnahraðanum á milli 25G og 10G. Fylgdu leiðbeiningunum frá Forritun og niðurhali á keyrslu og tengisniði (.elf). File á síðu 38 til að búa til .elf file.
    k. Í röðinni með .sof þitt skaltu haka í Program/Configure reitinn fyrir .sof file.
    l. Smelltu á Start.

Tengdar upplýsingar

  • Hönnun sem byggir á blokkum
  • Notendahandbók Intel Quartus Prime forritara
  • Greining og kembiforrit hönnunar með System Console
  • Intel Agilex 7 F-Series Transceiver-SoC þróunarsett notendahandbók
  • Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Notendahandbók
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Notendahandbók
  • Intel Arria 10 GX Transceiver Signal Integrity Development Kit Notendahandbók

1.7. Að prófa eCPRI Intel FPGA IP Design Example
Eftir að þú hefur sett saman eCPRI Intel FPGA IP kjarnahönnunina tdampog stilla það á Intel FPGA tækinu þínu, geturðu notað kerfisstjórnborðið til að forrita IP kjarna og innbyggða Native PHY IP kjarnaskrár hans.
Til að kveikja á System Console og prófa vélbúnaðarhönnunina tdample, fylgdu þessum skrefum:

  1. Eftir vélbúnaðarhönnun tdample er stillt á Intel tækinu, í Intel Quartus Prime Pro Edition hugbúnaðinum, á Tools valmyndinni, smelltu á System Debugging Tools ➤ System Console.
  2. Í Tcl Console glugganum skaltu breyta möppu íample_dir>/ synthesis/quartus/hardware_test og sláðu inn eftirfarandi skipun til að opna tengingu við JTAG master og byrjaðu prófið:
    • uppspretta ecpri_agilex.tcl fyrir Intel Agilex 7 hönnun
    • uppspretta ecpri_s10.tcl fyrir Intel Stratix 10 hönnun
    • uppspretta ecpri_a10.tcl fyrir Intel Arria 10 hönnun
  3. Fyrir Intel Stratix 10 eða Intel Agilex 7 E-flísar tæki afbrigði, verður þú að framkvæma annaðhvort innri eða ytri loopback skipun einu sinni eftir að þú hefur forritað .sof file:
    a. Breyttu TEST_MODE breytu í flow.c file til að velja bakslagsstillingu:
    TEST_MODE Aðgerð
    0 Serial loopback virkja aðeins fyrir uppgerð
    1 Serial loopback virkja aðeins fyrir vélbúnað
    2 Serial loopback og kvörðun
    3 Aðeins kvörðun

    Þú verður að endursafna og endurskapa NIOS II hugbúnaðinn þegar þú breytir flæði.c file.
    b. Endurskapa .elf file og forrita til stjórnarinnar einu sinni enn og endurforrita .sof file.

  4. Prófaðu hönnunaraðgerðina með skipunum sem studdar eru í kerfisstjórnborðinu. Kerfisborðshandritið veitir gagnlegar skipanir til að lesa tölfræði og eiginleika sem virkja í hönnuninni.

Tafla 4. Kerfistölvuforskriftaskipanir

Skipun Lýsing
lykkja_á Virkjar TX til RX innri serial loopback. Notist aðeins fyrir Intel Stratix 10 H-flísar og Intel Arria 10 tæki.
lykkja_af Slökkva á TX til RX innri serial loopback. Notist aðeins fyrir Intel Stratix 10 H-flísar og Intel Arria 10 tæki.
hlekkur _ init _ int _1pbk Virkjar TX til RX innri raðhringrás innan senditækisins og framkvæmir kvörðunarflæði senditækisins. Gildir aðeins um Intel Stratix 10 E-flísar og Intel Agilex 7 E-flísarhönnunina.
hlekkur _ init _ ext _1pbk Virkjar TX til RX ytri hringrás og framkvæmir kvörðunarflæði senditækisins. Gildir aðeins um Intel Stratix 10 E-flísar og Intel Agilex 7 E-flísarhönnunina.
umferð gen slökkva Slökkva á umferðargjafa og afgreiðslumanni.
chkmac tölfræði Sýnir tölfræði fyrir Ethernet MAC.
lesa_ próf_ tölfræði Sýna villutölfræði fyrir umferðargjafa og afgreiðslukassa.
ext _ samfelld _ ham _en Endurstillir allt hönnunarkerfið og gerir umferðarrafalanum kleift að búa til samfellda umferðarpakka.
dr _ 25g _ til _ lOg _etile Skiptir gagnahraða Ethernet MAC úr 25G í 10G. Notist eingöngu fyrir Intel Stratix 10 E-tile og Intel Agilex 7 E-tile tæki.
dr_25g_to_10g_htile Skiptir gagnahraða Ethernet MAC úr 25G í 10G. Notist eingöngu fyrir H-flísartæki
dr_10g_to_25g_etile Skiptir gagnahraða Ethernet MAC úr 10G í 25G. Notist eingöngu fyrir Intel Stratix 10 E-tile og Intel Agilex 7 E-tile tæki.
dr _ 25g _ til _ lOg _htile Skiptir gagnahraða Ethernet MAC úr 10G í 25G. Notist eingöngu fyrir H-flísartæki.

Eftirfarandi sampúttakið sýnir árangursríka prufukeyrslu:
Kerfisborðsútprentun (Fjöldi rása = 1)
Rás 0 EXT PTP TX SOP Fjöldi: 256
Rás 0 EXT PTP TX EOP tala: 256
Rás 0 EXT MISC TX SOP tala: 36328972
Rás 0 EXT MISC TX EOP fjöldi: 36369511
Rás 0 EXT RX SOP fjöldi: 36410364
Rás 0 EXT RX EOP tala: 36449971
Rás 0 EXT Checker villur: 0
Rás 0 EXT Checker villur: 0
Rás 0 EXT PTP fingrafarvillur: 0
Rás 0 EXT PTP fingrafaravillur: 0
Rás 0 TX SOP tala: 1337760
Rás 0 TX EOP tala: 1339229
Rás 0 RX SOP tala: 1340728
Rás 0 RX EOP tala: 1342555
Rás 0 afgreiðsluvillur: 0
Rás 0 afgreiðsluvillufjöldi: 0

================================================== ============================
==============
ETHERNET MAC Tölfræði fyrir Rás 0 (Rx)

================================================== ============================
==============
Brotnir rammar: 0
Jabbered rammar: 0
Rétt stærð með FCS Err Frames: 0
Fjölvarpsgögn Err Frames: 0
Útsendingargögn Err Frames: 0
Unicast gögn Err Frames: 0
64 bæta rammar: 3641342
65 – 127 bæta rammar: 0
128 – 255 bæta rammar: 37404809
256 – 511 bæta rammar: 29128650
512 – 1023 bæta rammar: 0
1024 – 1518 bæta rammar: 0
1519 – MAX bæti rammar: 0
> MAX bæti rammar: 0
Fjölvarpsgögn OK Rammi: 70174801
Útsendingargögn í lagi Rammi: 0
Unicast gögn í lagi Rammar: 0
Fjölvarpsstýringarrammar: 0
Útsendingarstýringarrammar: 0
Unicast stjórnrammar: 0
Stýringarrammar í hlé: 0
Burðaroktettar í lagi: 11505935812
Rammaoktettar í lagi: 12918701444
Rx hámarks rammalengd: 1518
Hvaða stærð sem er með FCS Err Frame: 0
Fjölvarpsstýring Err Frame: 0
Útsendingarstýring Err Frame: 0
Unicast stjórna Err Frames: 0
Hlé stjórna Err Frames: 0
Rx Frame Starts: 70174801

Eftirfarandi er sampúttak fyrir 25G til 10G DR prufukeyrslu:
Kerfisborðsútprentun (25G til 10G DR E-flísar)

Byrjaðu Dynamic Reconfiguration fyrir Ethernet 25G -> 10G
DR Vel heppnað 25G -> 10G
RX PHY skráningaraðgangur: Athugun á tíðni klukku (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY stöðukönnun
Rx tíðnilásstaða 0x0000000f
Mac klukka í góðu ástandi? 0x00000001
Rx rammavilla? 0x00000000
Rx PHY að fullu stillt? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 er í gangi!

Kerfisborðsútprentun (25G til 10G DR H-flísar)
Byrjaðu Dynamic Reconfiguration fyrir Ethernet 25G -> 10G
DR Vel heppnað 25G -> 10G
RX PHY skráningaraðgangur: Athugun á tíðni klukku (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY stöðukönnun
Rx tíðnilásstaða 0x00000001
Mac klukka í góðu ástandi? 0x00000007
Rx rammavilla? 0x00000000
Rx PHY að fullu stillt? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 er í gangi!

Kerfisborðsútprentun (10G til 25G DR E-flísar)
Byrjaðu Dynamic Reconfiguration fyrir Ethernet 10G -> 25G
DR Vel heppnað 10G -> 25G
RX PHY skráningaraðgangur: Athugun á tíðni klukku (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY stöðukönnun
Rx tíðnilásstaða 0x0000000f
Mac klukka í góðu ástandi? 0x00000001
Rx rammavilla? 0x00000000
Rx PHY að fullu stillt? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 er í gangi!

Kerfisborðsútprentun (10G til 25G DR H-flísar)
Byrjaðu Dynamic Reconfiguration fyrir Ethernet 10G -> 25G
DR Vel heppnað 10G -> 25G
RX PHY skráningaraðgangur: Athugun á tíðni klukku (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY stöðukönnun
Rx tíðnilásstaða 0x00000001
Mac klukka í góðu ástandi? 0x00000007
Rx rammavilla? 0x00000000
Rx PHY að fullu stillt? 0x00000001
Polling RX PHY Channel 0
RX PHY Channel 0 er í gangi!

Hönnun Example Lýsing

Hönnunin fyrrvample sýnir grunnvirkni eCPRI IP kjarnans. Þú getur búið til hönnunina frá Example Design flipann í eCPRI IP færibreyturitlinum.

2.1. Eiginleikar

  • Innri TX og RX serial loopback ham
  • Myndar sjálfkrafa pakka í fastri stærð
  • Grunngeta til að athuga pakka
  • Geta til að nota System Console til að prófa hönnunina og endurstilla hönnunina í endurprófunartilgangi

2.2. Vélbúnaðarhönnun Example
Mynd 5. Bálkamynd fyrir Intel Agilex 7 F-flísahönnuneCPRI Intel FPGA IP hönnun - mynd 5

Intel Corporation. Allur réttur áskilinn. Intel, Intel lógóið og önnur Intel merki eru vörumerki Intel Corporation eða dótturfélaga þess. Intel ábyrgist frammistöðu FPGA- og hálfleiðaravara sinna samkvæmt gildandi forskriftum í samræmi við staðlaða ábyrgð Intel, en áskilur sér rétt til að gera breytingar á hvaða vörum og þjónustu sem er hvenær sem er án fyrirvara. Intel tekur enga ábyrgð eða skaðabótaábyrgð sem stafar af notkun eða notkun á neinum upplýsingum, vöru eða þjónustu sem lýst er hér nema sérstaklega hafi verið samið skriflega af Intel. Viðskiptavinum Intel er bent á að fá nýjustu útgáfuna af tækjaforskriftum áður en þeir treysta á birtar upplýsingar og áður en pantað er fyrir vörur eða þjónustu. *Önnur nöfn og vörumerki geta verið eign annarra.

Mynd 6. Bálkamynd fyrir Intel Agilex 7 E-flísarhönnuneCPRI Intel FPGA IP hönnun - mynd 6Mynd 7. Bálkamynd fyrir Intel Stratix 10 hönnuneCPRI Intel FPGA IP hönnun - mynd 7

Mynd 8. Bálkamynd fyrir Intel Arria 10 hönnuneCPRI Intel FPGA IP hönnun - mynd 8eCPRI Intel FPGA IP kjarna vélbúnaðarhönnunin tdample inniheldur eftirfarandi hluti:
eCPRI Intel FPGA IP
Tekur við gögnum frá umferðaröflunum sem eru sýnd innan prófunarumbúðirnar og forgangsraða gögnum til sendingar á Ethernet IP.

Ethernet IP

  • F-flísar Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-flísar hönnun)
  • E-tile Hard IP fyrir Ethernet (Intel Stratix 10 eða Intel Agilex 7 E-flísar hönnun)
  • 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-flísarhönnun)
  • Low Latency Ethernet 10G MAC IP og 1G/10GbE og 10GBASE-KR PHY IP (Intel Arria 10 hönnun)

Precision Time Protocol (PTP) IO PLL
Fyrir Intel Stratix 10 H-flísahönnun—Staðfest til að búa til viðmiðunarklukku leyndsmælingainntaks fyrir Ethernet IP og samplangur klukka fyrir Time of Day (TOD) undirkerfi. Fyrir 25G Ethernet Intel Stratix 10 FPGA IP með IEEE 1588v2 eiginleikanum, mælir Intel með því að þú stillir tíðni þessarar klukku á 156.25 MHz. Skoðaðu 25G Ethernet Intel Stratix 10 FPGA IP notendahandbók og Intel Stratix 10 H-flísar sendandi PHY notendahandbók fyrir frekari upplýsingar. PTP IOPLL býr einnig til viðmiðunarklukkuna fyrir eCPRI IO PLL á fallandi hátt.
Fyrir Intel Arria 10 hönnun—Staðfest til að búa til 312.5 MHz og 156.25 MHz klukkuinntak fyrir Low Latency Ethernet 10G MAC IP og 1G/10GbE, 10GBASE-KR PHY IP og eCPRI IP.

eCPRI IO PLL
Myndar kjarnaklukkuúttak upp á 390.625 MHz fyrir TX og RX slóð eCPRI IP, og umferðarhluta.
Athugið: Þessi blokk er aðeins til staðar í hönnuninni tdample myndaður fyrir Intel Stratix 10 og Intel Agilex 7 tæki.

Athugið: Núverandi útgáfa af eCPRI Intel FPGA IP styður aðeins IWF tegund 0. Fyrir Intel Agilex 7 F-flísar tæki, hönnun fyrrverandiampLe virkt með IWF eiginleika er ekki stutt.
Þegar þú býrð til hönnunina tdampLe með Interworking Function (IWF) Stuðningsfæribreytu slökkt, pakkaumferðin flæðir beint frá prófunarumbúðareiningunni yfir í Avalon-ST uppruna/vaskviðmótið og ytri uppspretta/vaskviðmót eCPRI IP.
Þegar þú býrð til hönnunina tdampLe með Interworking Function (IWF) Stuðningsfæribreytu kveikt, pakkaumferðin rennur til IWF Avalon-ST vaskaviðmótsins frá prófunarumbúðareiningunni fyrst og kemur út frá IWF Avalon-ST upprunaviðmótinu til eCPRI Avalon-ST uppruna/vasks viðmót.
CPRI MAC
Veitir CPRI hluta lag 1 og heildarlags 2 samskiptareglur fyrir flutning notendaflugvélar, C&M og samstillingarupplýsinga milli REC og RE sem og milli tveggja RE,
CPRI PHY
Veitir afganginn af CPRI lag 1 samskiptareglum fyrir línukóðun, bitavilluleiðréttingu/uppgötvun o.s.frv.

Athugið: CPRI MAC og CPRI PHY IP stofnað í þessari hönnun tdample eru stilltir til að keyra á einum CPRI línuhraða 9.8 Gbps eingöngu. Hönnunin fyrrvample styður ekki sjálfvirka samningaviðræður um línuhlutfall í núverandi útgáfu.

Test umbúðir
Samanstendur af umferðaröflum og afgreiðslum sem búa til mismunandi sett af gagnapökkum til Avalon Streaming (Avalon-ST) viðmóta eCPRI IP eins og hér að neðan:

  • eCPRI pakkar til Avalon-ST uppruna/vaskviðmóta (IWF eiginleiki óvirkur):
    — Styður aðeins skilaboðategund 2.
    — Framleiðsla á bak til baka stillingu með stigvaxandi mynsturstillingu og burðarstærð 72 bæti fyrir hvern pakka.
    — Hægt að stilla í gegnum CSR til að keyra annað hvort í ósamfelldri eða samfelldri stillingu.
    — TX/RX pakkatölfræðistaða í boði fyrir aðgang í gegnum CSR.
  • eCPRI pakkar til Avalon-ST uppruna/vaskviðmóta (IWF eiginleiki virkur):
    — Styður aðeins skilaboðategund 0 í núverandi útgáfu.
    — Stigvaxandi mynsturhamsmyndun með millipakkabilsmyndun og burðarstærð 240 bæti fyrir hvern pakka.
    — Hægt að stilla í gegnum CSR til að keyra annað hvort í ósamfelldri eða samfelldri stillingu.
    — TX/RX pakkatölfræðistaða í boði fyrir aðgang í gegnum CSR.
  • Precision Time Protocol (1588 PTP) pakki og ýmsir pakkar sem ekki eru PTP við ytri uppspretta/vaskviðmót:
    — Static Ethernet hausagerð með fyrirfram skilgreindum breytum: Ethertype0x88F7, skilaboðagerð- Opcode 0 (Sync) og PTP útgáfa-0.
    — Forskilgreind mynsturhamsgerð með 2 lotum milli pakka og 57 bæti hlaðastærð fyrir hvern pakka.
    — 128 pakkar eru búnir til á hverri sekúndu.
    — Hægt að stilla í gegnum CSR til að keyra annað hvort í ósamfelldri eða samfelldri stillingu.
    — TX/RX pakkatölfræðistaða í boði fyrir aðgang í gegnum CSR.
  • Ytri pakkar sem ekki eru PTP:
    — Static Ethernet Header kynslóð með fyrirfram skilgreindri færibreytu, Ethertype- 0x8100 (ekki-PTP).
    — Myndun PRBS-mynsturs með 2 lotum milli pakka og 128 bæti hlaðastærð fyrir hvern pakka.
    — Hægt að stilla í gegnum CSR til að keyra annað hvort í ósamfelldri eða samfelldri stillingu.
    — TX/RX pakkatölfræðistaða í boði fyrir aðgang í gegnum CSR.

Time of Day (TOD) undirkerfi
Inniheldur tvær IEEE 1588 TOD einingar fyrir bæði TX og RX, og eina IEEE 1588 TOD samstillingareiningu sem er búin til af Intel Quartus Prime hugbúnaði.
Nios® II undirkerfi
Samanstendur af Avalon-MM brú sem leyfir Avalon-MM gagnagerðardómi milli Nios II örgjörva, prófunarumbúðir og Avalon® -MM vistfangaafkóðarablokka.
Nios II er ábyrgur fyrir því að skipta um gagnahraða á grundvelli úttaks frá gildishraðaskrárgildi prófunarumbúðirnar. Þessi blokk forritar nauðsynlega skrá þegar hún fær skipun frá prófunarumbúðirnar.

Athugið: Þessi blokk er ekki til staðar í hönnuninni tdample myndaður fyrir Intel Arria 10 og Intel Agilex 7 F-tile tæki.
Kerfisborð
Býður upp á notendavænt viðmót fyrir þig til að gera fyrsta stigs kembiforrit og fylgjast með stöðu IP, og umferðarframleiðenda og afgreiðslukassa.
Demo Control
Þessi eining samanstendur af endurstilla samstillingareiningum og In-system Source and Probe (ISSP) einingum til að hanna kerfis villuleit og frumstillingarferli.

Tengdar upplýsingar

  • 25G Ethernet Intel Stratix 10 FPGA IP notendahandbók
  • E-tile Hard IP notendahandbók
  • eCPRI Intel FPGA IP notendahandbók
  • 25G Ethernet Intel Stratix 10 FPGA IP hönnun Example Notendahandbók
  • E-tile Hard IP fyrir Intel Stratix 10 Design Examples notendahandbók
  • Intel Stratix 10 L- og H-Tile Transceiver PHY notendahandbók
  • E-Tile Transceiver PHY notendahandbók
  • Intel Stratix 10 10GBASE-KR PHY IP notendahandbók
  • E-flísar Hard IP Intel Agilex Design Example Notendahandbók

2.3. Simulation Design Example
eCPRI hönnunin tdample býr til uppgerð prófbekk og uppgerð files sem sýnir eCPRI Intel FPGA IP kjarnann þegar þú velur Simulation eða Synthesis & Simulation valkostinn.

Mynd 9. eCPRI Intel FPGA IP Simulation Block DiagrameCPRI Intel FPGA IP hönnun - mynd 9

Athugið: Nios II undirkerfisblokkin er ekki til staðar í hönnuninni tdample myndaður fyrir Intel Arria 10 og Intel Agilex 7 F-tile tæki.
Í þessari hönnun er tdampLe, uppgerð prófbekkurinn veitir grunnvirkni eins og gangsetningu og bið eftir læsingu, sendingu og móttöku pakka.

Vel heppnuð prufukeyrsla sýnir úttak sem staðfestir eftirfarandi hegðun:

  1. Viðskiptavinur rökfræði endurstillir IP kjarna.
  2. Rökfræði viðskiptavinarins bíður eftir RX gagnaslóðajöfnuninni.
  3. Viðskiptavinalogic sendir pakka á Avalon-ST tengi.
  4. Taktu á móti og athugar innihald og réttmæti pakkana.
  5. Birta skilaboðin „Test PASSED“.

2.4. Tengimerki
Tafla 5. Hönnun Example Tengimerki

Merki Stefna Lýsing
clk_ref Inntak Viðmiðunarklukka fyrir Ethernet MAC.
• Fyrir Intel Stratix 10 E-flísar, Intel Agilex 7 E-flísar og F-flísar hönnun, 156.25 MHz klukkuinntak fyrir E-flísar Ethernet Hard IP kjarna eða F-tile Ethernet Hard IP kjarna. Tengstu við i_clk_ref[0] í Ethernet Hard IP.
• Fyrir Intel Stratix 10 H-flísahönnun, 322.2625 MHz klukkuinntak fyrir senditæki ATX PLL og 25G Ethernet IP. Tengstu við pll_refclk0[0] í Transceiver ATX PLL og clk_ref[0] í 25G Ethernet IP.
• Fyrir Intel Arria 10 hönnun, 322.265625 MHz klukkuinntak fyrir senditæki ATX PLL og 1G/ 10GbE og 10GBase-KR PHY IP. Tengstu við pll_refclk0[0] í Transceiver ATX PLL og rx_cdr_ref_clk_10g[0] í 1G/ 10GbE og 10G BASE-KR PHY IP.
tod_sync_sampling_clk Inntak Fyrir Intel Arria 10 hönnun, 250 MHz klukkuinntak fyrir TOD undirkerfi.
klk100 Inntak Stjórnunarklukka. Þessi klukka er notuð til að búa til latency_clk fyrir PTP. Ekið á 100 MHz.
mgmt_reset_n Inntak Endurstilla merki fyrir Nios II kerfi.
tx_serial Framleiðsla TX raðgögn. Styður allt að 4 rásir.
rx_serial Inntak RX raðgögn. Styður allt að 4 rásir.
iwf_cpri_ehip_ref_clk Inntak E-flísar CPRI PHY viðmiðunarklukkuinntak. Þessi klukka er aðeins til í Intel Stratix 10 E-tile og Intel
Agilex 7 E-flísarhönnun. Ekið á 153.6 MHz fyrir 9.8 Gbps CPRI línuhraða.
iwf_cpri_pll_refclk0 Framleiðsla CPRI TX PLL viðmiðunarklukka.
• Fyrir Intel Stratix 10 H-flísahönnun: Akið á 307.2 MHz fyrir CPRI gagnahraða 9.8 Gbps.
• Fyrir Intel Stratix 10 E-flísar og Intel Agilex 7 E-flísar: Akið á 156.25 MHz fyrir CPRI gagnahraða 9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Framleiðsla CPRI móttakara CDR viðmiðunarklukka. Þessi klukka er aðeins til í Intel Stratix 10 H-flísahönnun.
Ekið á 307.2 MHz fyrir 9.8 Gbps CPRI línuhraða.
iwf_cpri_xcvr_txdataout Framleiðsla CPRI sendir raðgögn. Styður allt að 4 rásir.
iwf_cpri_xcvr_rxdatain Framleiðsla CPRI móttakara raðgögn. Styður allt að 4 rásir.
cpri_gmii_clk Inntak CPRI GMII 125 MHz inntaksklukka.

Tengdar upplýsingar
PHY tengimerki
Listar PHY tengimerki 25G Ethernet Intel FPGA IP.

2.5. Hönnun Example Register Map
Hér að neðan er skrákortlagning fyrir eCPRI IP kjarnahönnunina, tdample:
Tafla 6. eCPRI Intel FPGA IP Design Example Register Mapping

Heimilisfang  Skráðu þig
0x20100000 – 0x201FFFFF(2) IOPLL endurstillingarskrá.
0x20200000 – 0x203FFFFF Ethernet MAC Avalon-MM skrá
0x20400000 – 0x205FFFFF Ethernet MAC Native PHY Avalon-MM Register
0x20600000 – 0x207FFFFF(2) Native PHY RS-FEC Avalon-MM Register.
0x40000000 – 0x5FFFFFFF eCPRI IP Avalon-MM skráning
0x80000000 – 0x9FFFFFFF Ethernet Design Test Generator/Verifier Avalon-MM Register

Tafla 7. Nios II Register Mapping
Skrárnar í töflunni hér að neðan eru aðeins fáanlegar í hönnuninni tdample myndaður fyrir Intel Stratix 10 eða Intel Agilex 7 E-flísar tæki.

Heimilisfang  Skráðu þig
0x00100000 – 0x001FFFFF IOPLL endurstillingarskrá
0x00200000 – 0x003FFFFF Ethernet MAC Avalon-MM skrá
0x00400000 – 0x005FFFFF Ethernet MAC Native PHY Avalon-MM Register
0x00600000 – 0x007FFFFF Native PHY RS-FEC Avalon-MM Register

Athugið: Þú getur fengið aðgang að Ethernet MAC og Ethernet MAC Native PHY AVMM skránum með því að nota orðajöfnun í stað bætajöfnunar.
Nánari upplýsingar um Ethernet MAC, Ethernet MAC Native PHY og eCPRI IP kjarnaskrárkort er að finna í viðkomandi notendahandbókum.

(2) Aðeins fáanlegt í hönnun tdample myndaður fyrir Intel Stratix 10 og Intel Agilex 7 E-flísartæki.

Tafla 8. eCPRI Intel FPGA IP Vélbúnaðarhönnun Example Register Map

Orðajöfnun  Skráningartegund  Sjálfgefið gildi  Aðgangstegund
0x0 Byrjaðu að senda gögn:
• Bit 1: PTP, ekki PTP gerð
• Biti 0: eCPRI gerð
0x0 RW
0x1 Virkja stöðugt pakka 0x0 RW
0x2 Hreinsa villu 0x0 RW
0x3 (3) Gjaldskipti:
• Biti [7]- Gefur til kynna flísar:
— 1'b0: H-flísar
— 1'b1: E-flísar
• Biti [6:4]- Gefur til kynna Ethernet gagnahraðaskipti:
— 3'b000: 25G til 10G
— 3'b001: 10G til 25G
• Biti [0]- Virkja á skiptihraða. Nauðsynlegt er að stilla þennan bita 0 og skoða þar til biti 0 er hreinn fyrir hraðaskiptingu.
Athugið: Þessi skrá er ekki fáanleg fyrir Intel Agilex 7 F-flísar og Intel Arria 10 hönnun.
• E-flísar: 0x80
• H-flísar: 0x0
RW
0x4 (3) Gjaldbreyting lokið:
• Biti [1] gefur til kynna að hraðaskipti hafi verið lokið.
0x0 RO
0x5 (4) Kerfisstillingarstaða:
• Biti [31]: Kerfi tilbúið
• Biti [30]: IWF_EN
• Biti [29]: STARTUP_SEQ_EN
• Biti [28:4]: Frátekið
• Biti [3]: EXT_PACKET_EN
• Biti [2:0]: Frátekið
0x0 RO
0x6 (4) CPRI samningaviðræðum lokið:
• Biti [3:0]: Bitahraði lokið
• Biti [19:16]: Bókun lokið
0x0 RW
0x7 (4) CPRI samningaviðræðum lokið:
• Bit [3:0]: Hratt C&M lokið
• Bit [19:16]: Hratt VSS lokið
0x0 RW
0x8 – 0x1F Frátekið.
0x20 eCPRI villu truflun:
• Biti [0] gefur til kynna truflunina.
0x0 RO
0x21 Ytri pakkavilla 0x0 RO
0x22 Ytri PTP pakka TX Start of Packet (SOP) Count 0x0 RO
0x23 Ytri PTP-pakkar TX Fjöldi enda pakka (EOP). 0x0 RO
0x24 Ytri ýmsir pakkar TX SOP Count 0x0 RO
0x25 Ytri ýmsir pakkar TX EOP-fjöldi 0x0 RO
0x26 Ytri RX pakka SOP Count 0x0 RO
0x27 Ytri RX pakka EOP count 0x0 RO
0x28 Ytri pakkavillufjöldi 0x0 RO
0x29 – 0x2C Frátekið.
0x2D Ytri PTP Timestamp Talning fingrafaravillna 0x0 RO
0x2E Ytri PTP Timestamp Fingrafarvilla 0x0 RO
0x2F Ytri Rx villustaða 0x0 RO
0x30 – 0x47 Frátekið.
0x48 eCPRI Packets Villa RO
0x49 eCPRI TX SOP Talning RO
0x4A eCPRI TX EOP tala RO
0x4B eCPRI RX SOP Talning RO
0x4C eCPRI RX EOP Talning RO
0x4D eCPRI Packets Villa Count RO

Tengdar upplýsingar

  • Lýsingar á eftirliti, stöðu og tölfræðiskrá
    Skráðu upplýsingar fyrir 25G Ethernet Stratix 10 FPGA IP
  • Endurstilling og stöðuskrá
    Lýsingar Skrá upplýsingar fyrir E-tile Hard IP fyrir Ethernet
  • Skrár
    Skrá upplýsingar fyrir eCPRI Intel FPGA IP

eCPRI Intel FPGA IP hönnun Example User Guide Archives

Fyrir nýjustu og fyrri útgáfur þessarar notendahandbókar, vísa til eCPRI Intel FPGA IP Design Example User Guide HTML útgáfa. Veldu útgáfuna og smelltu á Sækja. Ef IP- eða hugbúnaðarútgáfa er ekki á listanum gildir notendahandbók fyrir fyrri IP- eða hugbúnaðarútgáfu.

Endurskoðunarsaga skjala fyrir eCPRI Intel FPGA IP Design Example Notendahandbók

Skjalaútgáfa Intel Quartus
Prime útgáfa
IP útgáfa Breytingar
2023.05.19 23.1 2.0.3 • Uppfærði Simulating the Design ExampLe Testbench kafla í kaflanum Quick Start Guide.
• Uppfærði ættarheiti vörunnar í „Intel Agilex 7“.
2022.11.15 22.3 2.0.1 Uppfærðar leiðbeiningar fyrir VCS hermir í kafla: Herma eftir hönnun Exampprófbekkur.
2022.07.01 22.1 1.4.1 • Bætti við vélbúnaðarhönnun tdampLe stuðningur fyrir Intel Agilex 7 F-flísar tæki afbrigði.
• Bætti við stuðningi við eftirfarandi þróunarsett:
— Intel Agilex 7 I-Series FPGA þróunarsett
— Intel Agilex 7 I-Series Transceiver-SoC þróunarsett
• Bætt við stuðningi við QuestaSim hermir.
• Fjarlægður stuðningur fyrir ModelSim* SE hermir.
2021.10.01 21.2 1.3.1 • Bætt við stuðningi við Intel Agilex 7 F-tile tækin.
• Bætt við stuðningi fyrir fjölrása hönnun.
• Uppfærð tafla: eCPRI Intel FPGA IP Vélbúnaðarhönnun Example Register Map.
• Fjarlægður stuðningur fyrir NCSim hermir.
2021.02.26 20.4 1.3.0 • Bætt við stuðningi fyrir Intel Agilex 7 E-tile tækin.
2021.01.08 20.3 1.2.0 • Breytti titli skjalsins úr eCPRI Intel Stratix 10 FPGA IP Design Example Notendahandbók til
eCPRI Intel FPGA IP hönnun Example Notendahandbók.
• Bætt við stuðningi fyrir Intel Arria 10 hönnun.
• eCPRI IP hönnunin tdample er nú fáanlegt með interworking function (IWF) eiginleikastuðningi.
• Bætt við athugasemd til að skýra að eCPRI hönnun tdample með IWF eiginleika er aðeins í boði fyrir 9.8 Gbps CPRI
línubitahraði.
• Bætt við skilyrðum í kafla Myndun hönnunarinnar þegar hönnunin er búin til tdample með
Interworking Function (IWF) Stuðningsfæribreyta virkjuð.
• Bætt við sampúttak eftirlíkingarprófunar með IWF-eiginleika virkt í kaflanum Að líkja eftir hönnuninni
Exampprófbekkur.
• Bætt við nýjum hluta sem virkar virka endurstillingu við Ethernet IP.
• Uppfært vélbúnaðarpróf sample framleiðsla í kafla
Að prófa eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • Bætt við stuðningi við 10G gagnahraða.
• flæði.c file er nú fáanlegt með hönnun example kynslóð til að velja loopback ham.
• Breytti sample framleiðsla fyrir uppgerð prufukeyrslu í kafla Herma eftir hönnun Exampprófbekkur.
• Bætt við tíðnigildi fyrir að keyra 10G gagnahraðahönnun í kaflanum Samsetning og stilling
Hönnun Example í Vélbúnaði.
• Gerðar eftirfarandi breytingar í kafla Prófa eCPRI Intel FPGA IP Design Example:
— Bætt við skipunum til að skipta gagnahraða á milli 10G og 25G
— Bætt við sample framleiðsla fyrir gagnahraðaskipti
— Bætt við TEST_MODE breytuupplýsingum til að velja bakslag í E-flísar tækjaafbrigðum.
• Breytt eCPRI Intel FPGA IP Vélbúnaðarhönnun Examples High Level Block Diagram til að innihalda nýtt
blokkir.
• Uppfærð tafla: Hönnun Example Tengimerki til að innihalda nýtt merki.
• Uppfærð hönnun Example Register Map hluti.
• Nýjum viðaukahluta bætt við: Búa til og hlaða niður keyrslu- og tengisniði (.elf) forritun File .
2020.04.13 19.4 1.1.0 Upphafleg útgáfa.

A. Búa til og hlaða niður keyrslu- og tengisniði (.elf) forritun File

Þessi hluti lýsir því hvernig á að búa til og hlaða niður .elf file til stjórnar:

  1. Breyta möppu íample_dir>/synthesis/quatus.
  2. Í Intel Quartus Prime Pro Edition hugbúnaðinum, smelltu á Open Project og opnaðuample_dir>/synthesis/quartus/epri_ed.qpf. Veldu nú Tools ➤ Nios II Software Build Tools for Eclipse.
    Mynd 10. Nios II hugbúnaðarsmíðaverkfæri fyrir EclipseeCPRI Intel FPGA IP hönnun - mynd 10
  3. Vinnusvæði Launcher gluggi hvetja birtist. Í vinnusvæðinu tilgreindu slóðina semample_dir>/synthesis/quatus til að geyma Eclipse verkefnið þitt. Nýr Nios II – Eclipse gluggi birtist.
    Mynd 11. Sjósetja gluggi vinnusvæðiseCPRI Intel FPGA IP hönnun - mynd 11
  4. Í Nios II – Eclipse glugganum, hægrismelltu undir Project Explorer flipann og veldu New ➤ Nios II Board Support Package. Nýi glugginn birtist.
    Mynd 12. Project Explorer FlipieCPRI Intel FPGA IP hönnun - mynd 12
  5. Í Nios II Board Support Package glugganum:
    • Í færibreytunni Verkefnaheiti, tilgreinið viðkomandi verkefnisheiti.
    • Í SOPC-upplýsingunum File nafnbreytu, flettu að staðsetninguample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Smelltu á Ljúka.
    Mynd 13. Nios II stjórnarstuðningspakkagluggieCPRI Intel FPGA IP hönnun - mynd 13
  6. Nýstofnaða verkefnið birtist undir Project Explorer flipanum í Nios II Eclipse glugganum. Hægrismelltu undir Project Explorer flipann og veldu Nios II ➤ Nios II Command Shell.
    Mynd 14. Project Explorer- Nios II Command ShelleCPRI Intel FPGA IP hönnun - mynd 14
  7. Í Nios II Command Shell skaltu slá inn þrjár eftirfarandi skipanir: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-nafn\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. .álfurinn file er búið til á eftirfarandi stað:ample_dir>/ synthesis/ip_components/software/ /app.
  9. Sláðu inn eftirfarandi skipun í Nios II Command Shell til að hlaða niður .elf á borðið:
    • Fyrir Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Fyrir Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

Intel lógóeCPRI Intel FPGA IP hönnun - táknmynd Netútgáfa
eCPRI Intel FPGA IP hönnun - tákn 1 Sendu athugasemdir
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP hönnun Example Notendahandbók

Skjöl / auðlindir

Intel eCPRI Intel FPGA IP hönnun [pdfNotendahandbók
eCPRI Intel FPGA IP hönnun, eCPRI, Intel FPGA IP hönnun, FPGA IP hönnun, IP hönnun, hönnun

Heimildir

Skildu eftir athugasemd

Netfangið þitt verður ekki birt. Nauðsynlegir reitir eru merktir *